On-Demand Webcasts



Full Trace Analytics Simplifies Root Cause Analysis, Pinpoints Yield Impacting Events Quicker Than Ever


Tuesday, January 29, 2019 at 1:00 pm EST

In semiconductor manufacturing, traditional root cause analysis using FDC summary data is not always effective in solving complex issues, especially when the defect signals are too subtle to detect. Full trace analytics enables the discovery of these hidden signals. This allows fab engineers to accurately pinpoint the root causes of yield-impacting issues. This webcast will discuss several use cases to showcase how advanced full trace analytics can help not only in provide accurate results, but can also simplify the root cause analysis process and reducing time-to-root-cause, resulting in better yields, lower production costs and increased engineering productivity. In this LIVE webcast, BISTel's Chief Product Management Officer, Gabe Villareal will discuss how BISTel is leading the industry with its new full trace analytics to simply root cause analysis, which enables fab engineers to pinpoint the issues than impact yield and productivity quicker than ever. Full trace analytics enables the comprehensive examination of process trace data to allow the detection of abnormalities and deviations to the finest details.





FD-SOI: An Optimal Technology for Integrated IoT and 5G Designs


Thursday, December 13, 2018 at 1 p.m. Eastern

As digital-centric scaling pursues solutions in 3D device architectures such as gate-all-around (GAA) transistors, most applications in new leading-edge growth markets such as Edge AI/ML, IoT, automotive and 5G mmWave demand post-bulk processing power and also next-generation analog and RF performance. This webinar is an overview of production-proven fully-depleted silicon-on-insulator (FD-SOI), the only post-bulk planar transistor technology. We will explain and demonstrate how FD-SOI delivers FinFET-like performance, excellent mismatch and noise characteristics and best-in-class Ft and Fmax required for energy-efficient mmWave applications.





Enabling EUV and the patterning roadmap


Tuesday, June 5, 2018 at 1:00 p.m. ET

EUV lithography has steadily been gaining momentum in recent years and edges closer and closer to insertion in manufacturing. While considerable progress has been made and the first uses of EUV appear imminent, there remain some difficulties that will challenge the rate and degree to which EUV can be employed. This talk will aim to explore the patterning-related challenges that remain, summarize some of the ongoing efforts to tackle these challenges, and give an outlook towards the future.





3D NAND Flash Process Integration and Architecture from A to Z


April 24, 2018 at 1:00 p.m. ET

Since 2006, many of new 3D NAND Flash cells have been proposed and commercialized on the market. Already, we have seen 3D NAND cell structure up to 64L/72L with single or multi-stack NAND string architecture. The memory density on Micron/Intel�s 64L 3D NAND 256 Gb/die reached 4.40 Gb/mm2 (256 Gb/die). In this session, we�ll overview 3D NAND Flash roadmap, products, cell design, structure, materials and process integration. The 3D NAND cell architecture from major NAND manufacturers including Samsung TCAT V-NAND, Toshiba/Western Digital BiCS, SK Hynix P-BiCS and Micron/Intel FG CuA will be reviewed and compared. Current and future technology challenges on 3D NAND will be discussed as well.





3D NAND: Trends in Processes, Circuits, and Systems


October 3, 2017 at 1 p.m. ET

Conventional planar flash memory technology is approaching critical scaling limitations that are driving the transition to 3D solutions. 3D NAND is expected to scale in height, from 16-bit-tall strings to string heights of more than 128 bits. Meanwhile NAND makers will find ways of placing these strings closer to each other through more aggressive lithography.





Dense Off Chip Integration (DOCI): Advanced Packaging, low cost alternatives to boost electronic system performance


Wednesday, August 9, 2017 at 1 p.m. ET

The slowing down of Moore's Law even at leading CMOS Fabs due to approaching Physics limits, while at the same time the explosion in demand for chips and systems across a wide range of market segments (compact wearable / portable consumer systems, the transfer and processing of data to and from the cloud, at the high performance end specialized architectures e,g for AI) has revived interest in Dense Off Chip Integration (DOCI ), first used in MCMs (Multi Chip Modules) for mainframes some 3 decades ago.





Artificial Intelligence and Machine Learning in Semiconductor Manufacturing: The Rise of Computational Process Control


Thursday, May 17, 2018 at 1:00 p.m. ET

The increased use of artificial intelligence (AI) and machine learning (ML) techniques such as deep learning is creating a myriad of both challenges and opportunities for enhancements in manufacturing in terms of improved capacity, quality, and efficiency. The semiconductor industry poses somewhat unique challenges arising from its complex, high precision and highly dynamic production environment. One key way that these challenges are being addressed in semiconductor is by using an approach called �computational process control� or �CPC� in which AI and ML are combined with subject matter expertise to provide higher quality analytical solutions. This webcast will look at the AI/ML explosion, what it means to the semiconductor industry, and how CPC is being used to enhance the benefits of these analytical techniques.





Metrology Challenges and Opportunities


Wednesday, April 26, 2017 at 2 p.m. ET

Continued scaling and more complex device structures, including FinFETs and 3D stacking, are creating new challenges in metrology and inspection. Smaller defects must be detected and analyzed on an increasingly diverse set of materials. Chip makers are looking for better wafer edge inspection techniques, higher resolution metrology tools and new compositional analysis solutions. Experts will describe new approaches for next generation metrology and inspection, including measurements of CDs, stress, film thickness and non-visual defects.





Advanced Process Control in Microelectronics Manufacturing: Leveraging Smart Manufacturing and Big Data


Friday, April 7, 2017 at 1pm ET / Sponsored by Epicor

One of the primary concerns of today�s fab managers is cost reduction. This webcast will examine how top fabs are tackling that challenge by embracing smart manufacturing, otherwise known as Industry 4.0 or IIoT. Greater connectivity and information sharing -- enabled by new capabilities in data analytics, remote monitoring and mobility -- will lead to increased efficiency and reduced costs.





Semiconductors and the IoT


Tuesday, March 28, 2017 at 1pm ET / Sponsored by Epicor

The rise of Internet of Things (IoT) and the cloud and their associated technologies and platforms are slowly but surely fueling the emergence of new market segments that are shaping and transforming our way of life. Learn how the IoT applications and use-cases that span clients/devices, networks, and data centers are driving new requirements for semiconductors including ultra-low power, ultra-low leakage, smaller and denser packaging, and cost effectiveness. And find out exactly how this IoT trend represents a growth opportunity for the semiconductor industry that has not been seen since the early days of the Internet.





Smartphone Market Driving 7nm & 5nm Node 3-D Transistors and Stacked Devices


December 15, 2016 at 1 p.m. ET / Sponsored by Versum Materials

The smartphone market is expected to reach 1.5B units in 2016 consuming nearly 1/3 of the IC market as smartphones become the mini mobile computers in capabilities and the central hub/gateway for the Internet of Things (IoT) devices including wearables and home monitoring devices. This webcast will give an update to the previous April 30, 2015 Webinar on Smartphone as the Technology Driver.





Enabling The Future Of Information Technology Without Moore�s Law Scaling


November 17, 2016 at 1:00 p.m. ET / Sponsored by Astronics

Moore�s Law scaling can no longer maintain the pace of progress just when we need it most. Data, logic and applications are migrating to the cloud, consumerization of data and the rise of the Internet of Things are placing new demands and they are all occurring at the same time. Difficult challenges in power, performance, latency, bandwidth density, security and cost threaten our ability to maintain the progress that has enabled the growth of information technology. Meeting these challenges will require reduction in power and cost per function by a factor of 104 over the next 15 years while improving performance and decreasing latency. Only a revolution in packaging through Complex 3D-SiP can provide a solution. This will require new tools for design and simulation, test, new packaging architectures, production processes, materials, and equipment. The difficult challenges and potential solutions will be discussed, including critical test issues.





DSA: Progress Toward Manufacturing Readiness


November 15, 2016 at 1:00 p.m. ET / Sponsored by Brewer Science

Directed self-assembly (DSA) patterning is one of the primary methods being pursued for future advanced patterning nodes. Since DSA�s initial introduction in the early 2000s, great progress has been made. However, many challenges remain for achieving manufacturing readiness. Key improvements in the areas of materials, defectivity, and process integration are still needed. This webcast will cover published progress to date, review key improvements needed, and discuss new advances in DSA technology. Results from CEA-LETI�s 300-mm lab-to-fab for validation of DSA�s manufacturing readiness will be discussed.





Heterogeneous Integration: An Emerging Trend for Next Generation Microelectronic Devices and a Tremendous Opportunity for Advanced Packaging


October 26, 2016 at 1 p.m. ET / Sponsored by Air Products

With the change in the traditional IC scaling cadence, the expansive growth of �Big data,� and the pervasive nature of computing, rises a paradigm shift in integrated circuit scaling and microelectronic devices. The pervasive nature of computing drives a need for connecting billions of people and tens of billions of devices/things via cloud computing. Such connectivity effect will generate tremendous amount of data and would require a revolutionary change in the technology infrastructures being used to transmit, store and analyze data. Heterogeneous integration through package with technologies such as system in package (SIP), on package integration (OPI) and fan-out (WLFO and PLFO) are poised to change the packaging industry and play a disruptive role in enabling next generation devices.





Is the Semiconductor Industry Ready for Industry 4.0 and the IIoT?


September 27, 2016 at 1 p.m. ET / Sponsored by Epicor

An industrial revolution is in the making, equivalent some say to the introduction of steam power at the tail end of the 18th century. Known as smart manufacturing, Industry 4.0 (after the German initiative Industrie 4.0), the industrial internet of things (IIoT), or simply the fourth industrial revolution, the movement will radically change how manufacturing is done. Industry experts will examine the potential for the semiconductor factory of the future, and discuss potential roadblocks.





What You Need to Know About Semiconductor Industry Greenhouse Gas Emissions


September 22, 2016 at 1:00 p.m. ET / Sponsored by Edwards

The semiconductor industry�s response to perfluorinated compounds PFCs started in 1994 when DuPont, the supplier of the primary gas used in CVD chamber cleans, C2F6, issued a sales policy restricting sales after 12/31/96 ��only to those applications that contain and either recover or destroy� C2F6 subsequent to use. The sales policy started an industry effort to understand potential impacts of all fluorinated greenhouse gases used in semiconductor manufacturing and to develop methods to estimate and reduce emissions. The industry has worked on a global basis via the World Semiconductor Council to develop common PFC metrics, measurement methodologies and approaches to reduce emissions. Preferring a pollution prevention approach, the industry and its suppliers have evaluated and implemented when feasible process optimization, gas substitution, capture/recycle and abatement. The WSC also set a goal to reduce absolute PFC emissions by 10% from baseline levels by 2010. The WSC exceeded the 2010 goal, achieving a 32% reduction, largely by replacing carbon based PFC chamber cleaning gases with NF3 in new process equipment, optimizing processes to reduce gas consumption, and using alternative chemistries and installing abatement where feasible. The new WSC2020 target calls for the implementation of best practices to further reduce normalized emissions in 2020 by 30% from the 2010 aggregated baseline. How do the semiconductor industry�s greenhouse gas emissions compare to other sectors, what data uncertainties exist, and what can be done to cost effectively achieve further emissions reductions?




Technology and Design Architectures and Process Innovations for 7 and 5nm BEOL Interconnects


September 14, 2016 at 1 p.m. ET/ Sponsored by Air Products

For a semiconductor technology node, the BEOL definition must support minimal parasitic impact to technology, sufficient reliability, required dimensional scaling from previous nodes for standard cell and custom logic requirements, and high yielding/low cost integration schemes. This webcast will discuss the key BEOL elements and innovations in these areas for the 7nm nodes and beyond. The individual elements are often in conflict with each other, but must be considered in unison to determine the overall best definition.





Flawless Execution at Fairchild: How Change to Modern MES Enables Agility, Quality and Productivity


August 9, 2016 at 1 PM ET / Sponsored by Siemens

In this high-pressure environment, leading semiconductor companies are swapping out older manufacturing executions systems (MES) for modern MES. Surprised? True, the perceived risk of changing out MES in a semiconductor facility is high. Yet companies have done it with great success and enormous business benefits. Fairchild Semiconductor�s positive experiences as it strives for quality, on-time delivery, new product introduction success, improved productivity and quality are indicative. In just one year, Fairchild switched out aging systems for a new MES at a plant in China � and the following month, they got it up at a second plant. Learn what they did to ensure the change happened quickly and without a hitch. In addition to this case study, you�ll hear from a leading industry analyst who has interviewed dozens of people from semiconductor companies that have succeeded with the move to modern MES.





Airborne Molecular Contamination Monitoring � Efficient Troubleshooting Techniques


July 28, 2016 at 10 AM MT / Sponsored by Particle Measuring Systems, Inc.

Decreasing the time to detect, contain and mitigate very low levels of Airborne Molecular Contamination (AMC) is critical for high tech manufacturers. Costs associated with AMC-related quality issues and yield losses are well understood, and adequate reduction of AMC is critical for clean manufacturers to stay competitive. Technical personnel need the flexibility to efficiently collect AMC data with good temporal-spatial resolution anywhere in the clean environment for both sustaining sample plans, as well as to collect site-specific data to converge on AMC sources during troubleshooting events. A brief overview of AMC will be presented along with the latest technology for efficiently identifying AMC sources in the cleanroom.





Fan-Out Wafer Level Packaging


May 26, 2016 at 1 PM ET / Sponsored by Zeta Instruments

Wafer level packaging (WLP) using fan-out technology is an attractive platform for achieving low-cost low-profile package solutions for smart-phones and tablets, which require cost-effective, high-density interconnects in small form-factor packaging. Assembled directly on a silicon wafer, the approach is unconstrained by die size, providing the design flexibility to accommodate an unlimited number of interconnects between the package and the application board for maximum connection density, finer line/spacing, improved electrical and thermal performance and small package dimensions to meet the relentless form factor requirements and performance demands of the mobile market. In this webcast, industry experts will explain the FOWLP process, discuss recent advances and forecast future trends.





MEMS: Current Status and Future Directions


May 11, 2016 at 12 PM ET / Sponsored by Boston Semi Equipment

In 2015, the MEMS market did not grow as much as we initially expected. In the past, the Smart Phone industry was a strong driver of the MEMS industry with ever increasing volume. Today, MEMS are becoming commodity products with very low price. The webcast will review the latest market data and forecasts for the future. The MEMS �commoditization� paradox will be discussed as well as latest technical trends (sensors combos, packaging).





Advanced Packaging: A Changing Landscape Rife with Opportunities


May 10, 2016 at 1 PM ET / Sponsored by Brewer Science

Die stacking enables better chip performance in a small form factor, meeting the needs of smartphones, tablets, and other advanced devices. Through-silicon vias are moving into volume packaging production, but problems with reliability, cost, and scaling remain. The supply chain also must adjust to this �mid� step between front- and back-end chip production. This webcast will explore the wafer thinning, bonding, TSV formation and other critical process steps necessary to enable 3D integration.





Metrology Challenges and Opportunities


Thursday, April 14, 2016 at 1:00 p.m. ET / Sponsored by Park Systems

Continued scaling and more complex device structures, including FinFETs and 3D stacking, are creating new challenges in metrology and characterization. As the industry continues to explore new patterning methods, new phenomena further challenge metrology and characterization including pitch walking, stress relaxation in multilayer fins, and new device designs. New materials continue to be explored for transistor and interconnect applications. Here, the challenges facing materials characterization highlight the issues that will face in-line metrology when these materials transition to manufacturing.







IoT Device Trends and Challenges


January 19, 2016 at 1 p.m. ET

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the �cloud.� On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into �information� will require a massive investment in data centers and leading edge semiconductor technology.





3D NAND Challenges and Opportunities


December 16, 2015 at 12:00 p.m. ET

Flash memory has revolutionized the world of solid-state data storage, mainly because of the advent of NAND technology. However, from the technical point of view, this requires a major change in how these memories are being fabricated. This presentation will discuss this (r)evolution as well as its major scaling limitations.





System Scaling and Integration Platforms for Mobile Devices and IoT


September 9, 2015 at 8:00 p.m. ET

In this presentation, recent developments in interconnects and packaging technologies that will enable mobile devices, and IoT will be discussed. Some of these packaging technologies include high density fan-out wafer level packaging, passive and active interposers, high throughput chip-on-wafer bonding, as well as wafer level chip scale packaging for MEMS and sensors.




Lock-in Thermography for Advanced Assembly Qualification


August 26, 2015 at 1:00 p.m. ET

Increasing IoT business opportunities drive a need for new packaging techniques such as FOWLP, Embedded Component Packaging, etc. Such new assembly techniques allow more components and functionality to be integrated into an ever decreasing package space. In parallel the faster product cycle drives the need for faster production ramp to stay competitive. All these challenges highlight the need for a better methodology to determine root cause of assembly-related defects during the new package process qualification process. We will demonstrate a totally non-destructive fault localization method based on a lock-in thermography with examples in these areas.




The Path to Future Interconnects


August 6, 2015 at 1:00 p.m. ET

Jon Candelaria, Semiconductor Research Corp.�s director of interconnect and packaging sciences, will summarize a SEMICON West Semiconductor Technology Symposium Session focused on interconnects. He�ll describe the challenges for interconnect technology up to the end of the CMOS roadmap, and a few of the alternatives to address them. Next, he�ll discuss possible directions beyond the roadmap, as well as interconnectivity requirements and solution paths for emerging applications.





Isolating Electrical Faults in Advanced IC Devices


July 29, 2015 at 2:00 p.m. ET

Yield improvement and production engineers working on today's ICs encounter many challenges as defects affecting device operation go undetected by traditional in-line techniques. Electrical Failure Analysis (EFA) is a suite of techniques that helps the modern day fab increase yields by isolating faults to areas small enough for Physical Failure Analysis (PFA). In this Webinar, we showcase a few of the proven EFA fault isolation techniques and describe how EFA helps to characterize the underlying defects.





Maximize Lean Strategies with Mobile Technologies on the Plant Floor and Beyond


June 18, 2015 at 2:00 p.m. ET

With the rise of the mobile workforce, mobility is truly an ideal �lean� enabler. In this session we will examine the top 10 areas where mobility can have the most impact on the success of your lean initiatives. Mobility is capable of stripping wasted time and errors out of virtually any process in any and all of your business functions, making mobility the ultimate platform to take your manufacturing operations to the next level of lean. Join us for this discussion on the factors to maximize lean strategies with mobile technologies.




Sensor Fusion and the Role of MEMS in IoT


Thursday May 28, 2015 at 1:00 p.m. EST

MEMS have quite different process and material requirements compared to mainstream microprocessor and memory types of devices. This webcast will explore the latest trends in MEMS devices � including sensor fusion, biosensors, energy harvesting � new manufacturing challenges and potential equipment and materials solutions to those challenges.





Trends in Materials: The Smartphone Driver


Thursday, April 30, 2015 at 1:00 p.m. EST

To understand 3-D FinFET doping and high mobility channel material, this talk will first review the current doping and Fin/channel mobility enhancement techniques used for 22nm FinFET production by Intel for both high performance logic and SOC devices and the changes they made for their 2nd generation 14nm FinFET.





3D Integration: The Most Effective Path for Future IC Scaling


Thursday, April 23, 2015 at 12:00 p.m. EST

It is well recognized that dimensional scaling has reached its diminishing return phase. The industry is now looking at monolithic 3D to be the future technology driver. Yet, until recently, the path to monolithic 3D has required the development of new transistor types and processes. This Webcast will present game-changing monolithic 3D process flows which use the existing transistor of existing manufacturing line and existing process flows. Now the most effective path for future IC scaling is indeed monolithic 3D, which offers the lowest development and manufacturing cost for future ICs.