Category Archives: Metrology

May 23, 2012 — North America-based manufacturers of semiconductor fab equipment posted $1.60 billion in orders and $1.45 billion in billings in April 2012 (three-month average basis) for a book-to-bill ratio of 1.10, according to SEMI.

The three-month average of $1.60 billion in worldwide bookings is 10.7% higher than the final March 2012 level of $1.45 billion, and is flat compared to April 2011.

The three-month average of $1.45 billion in worldwide billings shows 13.0% growth from the final March 2012 level of $1.29 billion, though it is 11.0% below the April 2011 billings level of $1.64 billion.

The steady increase in book-to-bill ratios may receive an even bigger boost as 2012 progresses, with “indications of increased spending” coming from foundries and packaging subcontractors, noted Dan Tracy, senior director of Industry Research & Statistics at SEMI.

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. A book-to-bill of 1.10 means that $110 worth of orders were received for every $100 of product billed for the month.

 

Billings
(3-mo.  
avg, $M)

Bookings
(3-mo.
avg, $M)

Book-to-
Bill

 

 

 

 

Nov 2011

1,176.7

977.2

0.83

Dec 2011

1,300.0

1,102.9

0.85

Jan 2012

1,239.9

1,187.5

0.96

Feb 2012

1,322.8

1,336.9

1.01

March 2012 (final)

1,287.6

1,445.7

1.12

April 2012 (prelim)

1,454.7

1,600.7

1.10

Source: SEMI May 2012
The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS).

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org.

Visit the Semiconductors Channel of Solid State Technology!

May 15, 2012 — SÜSS MicroTec AG, a global supplier of equipment and process solutions for the semiconductor industry and related markets, has increased its shareholding in SUSS MicroOptics S.A., from 85% to the full 100%.

SUSS MicroOptics is a leading company for high-quality refractive and diffractive micro-optics. The know-how comprises profound experience in optical design, micro-fabrication and metrology. The company provides the highest quality components through leading-edge manufacturing techniques, based on 200mm wafer technology in quartz and silicon, and its innovative advances in technology.

Also read: SUSS buys Tamarack for lithography, laser structuring lines

“By acquiring 100 percent of SUSS MicroOptics, we have undertaken another step towards streamlining our organizational structure and have tied the company, which masters key technologies for us, close to the SUSS MicroTec group,” said Frank P. Averdung, president and CEO of SÜSS MicroTec AG.

SUSS MicroTec, listed on TecDAX of Deutsche Boerse AG, is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. For more information, please visit http://www.suss.com

Visit the Semiconductors Channel of Solid State Technology!

May 14, 2012 — Advantest Corporation (TSE:6857, NYSE:ATE) uncrated the next-generation high-speed memory test system, T5511, offering 8Gbps test speed. Designed for dynamic random access memory (DRAM) test, the T5511 performs tests on diverse chip generations and DRAM architectures. It can be deployed from R&D through to volume production.

Ultra-fast GDDR5-SDRAM chips used for graphics need functions such as clock training and cyclic redundancy check (CRC) to ensure their reliability and high-speed performance. DDR4-SDRAM for servers and clients will soon achieve twice the bandwidth of mainstream DDR3-SDRAM and functionality equal to GDDR5. In the mobile and graphics segments, bus widths of x32 and x64 are now mainstream; wide I/O DRAMs with a 256-bit wide interface will soon be standardized.

The T5511’s 8Gbps test speed (4GHz) and ±40ps timing accuracy enable GDDR5-SDRAM device test. Since all the system’s test pins support 8Gbps, no reduction in parallelism occurs when operating at high speed. Parallel test capacity is 256 (x8 I/O). Clock training functionality is built into T5511’s hardware for testing new DDR4-SDRAM and GDDR5-SDRAM devices, keeping throughput higher than software-based clock training designs, Advantest reports. The T5511 also features a hardware CRC code generator function for these components, generating CRC codes automatically.

The T5511 runs Advantest’s “Future Suite” tester operating system, including the entire library of program data created for T55xx series test systems.

System configurations range from 384 pins for R&D use through 6,144 pins for volume production. Users can configure a test tool to move from lab to fab as needed.

Advantest (NYSE:ATE) manufactures electronic measuring instruments, automatic test equipment, and electron beam lithography systems. Learn more at www.advantest.co.jp.

Visit the Semiconductors Channel of Solid State Technology!

May 8, 2012 — SEMI-GAS Systems, ultra-high-purity gas source and distribution system maker, and a division of Applied Energy Systems Inc, will outfit the University of Nebraska – Lincoln’s new Nanoscience Metrology Facility. Researchers will use the labs for metrology of semiconductor process development, including wafer etch, photolithography, and thin-film deposition.

The 32,000sq.ft. center will host nanofabrication, electron microscopy, scanning probe microscopy and other synthesis and characterization units. It is funded in part by a grant from the National Institute of Standards and Technology (NIST). SEMI-GAS’ high purity products and Applied Energy Systems’ field services will be used throughout the new labs and cleanrooms at the facility.

They will install numerous fully automatic Centurion gas cabinets, automatic switchover gas panels, wall-mount systems and manual manifolds, as well as an exhaust scrubber and hazardous gas detection system. Applied Energy Systems will support all of the SEMI-GAS equipment with an extensive installation and gas piping project, which includes installing high-purity single-wall and coaxial gas lines from the source systems to their designated points-of-use: a reactive ion etch and krypton fluoride laser, among other tools.

With the equipment installed, certified technicians from Applied Energy Systems’ will perform quality and safety checks, including analytical testing and line labeling. The final phase, in conjunction with University of Nebraska – Lincoln, will include system validation, equipment commissioning, and personnel training.

In late 2011, Applied Energy broke ground on its new SEMAT Center, a 2-story, 16000sq.ft. facility for SEMI-GAS Systems.

Semi-Gas Systems provides High Purity Gas Distribution Systems. Learn more at http://semi-gas.com/.

Visit the Semiconductors Channel of Solid State Technology!

May 8, 2012 — US President Barack Obama toured the University at Albany – State University of New York (SUNY) – Albany Nano-Tech Complex at 12EST today, speaking about the economy in the College of Nanoscale Science and Engineering’s (CNSE) NanoFab Extension Building.

Semiconductor foundry GLOBALFOUNDRIES will help host the presidential visit, which had to move from GLOBALFOUNDRIES’ new Fab 8 to the college for logistical reasons. CNSE is a global education, research, development and technology deployment resource dedicated to preparing the next generation of scientists and researchers in nanotechnology. It hosts myriad private-public partnerships with academia and research organizations partnering with global semiconductor equipment and materials suppliers, as well as chip makers. Also read: A day at Albany CNSE: Leading-edge techs, innovation vs. efficiency

GLOBALFOUNDRIES CEO Ajit Manocha will speak during the event as well. Since breaking ground on Fab 8 in 2009, GLOBALFOUNDRIES has created more than 1,300 new direct jobs with the project, drawn from local talent in the region and national and international sources. In addition, the project has created an additional 4,300 construction related jobs and established the largest private Project Labor Agreement in history, generating hundreds of millions of dollars of economic development throughout upstate New York. Fab 8 began production in January 2012, and should ramp to volume production this year.

In January, President Obama visited Intel’s Ocotillo semiconductor manufacturing location in Chandler, AZ, touring Fab 42, which is under construction. The visit carried a similar theme as today’s — advanced manufacturing jobs in America, and improving education to develop future technological leaders from America. Obama is emphasizing the connection between education, innovation, and manufacturing in supporting investment and bringing jobs back to the US, which the administration touts as "insourcing."

"The true engine of job creation in this country is the private sector. There are steps we can take as a nation to make it easier for companies to grow and to hire — to create platforms of success," said Obama at CNSE (via @Obama2012 on twitter). He listed ways to accelerate the US economy, including tax breaks for clean-energy companies and small business owners, support for veterans in the job market, and encouraging US-based manufacturing and exports. "American manufacturers are creating new jobs for the first time since the 1990s," he said, and asserted that half of America’s largest companies are considering moving manufacturing operations from China to the US. "Even when we can’t make things cheaper than other countries, we can always make them better."

From the White House: "The President’s visit to the College of Nanoscale Science and Engineering at SUNY-Albany demonstrates the important role that partnerships between universities and companies can play in accelerating education, innovation and U.S. manufacturing investment."

The President has proposed a $1 billion investment for a "National Network for Manufacturing Innovation consisting of up to fifteen institutes, each serving as a hub that will help to make US manufacturing facilities and enterprises more competitive and encourage investment in the United States." The White House says that these "hubs," like CNSE, will bring large companies, small and medium enterprises, research organizations and universities, federal agencies, and states together to advance key manufacturing technologies with broad applications. In March, the Administration announced that it "will invest $45 million in existing resources to launch a single pilot institute through a competitive award to be announced later this year."

Visit the Semiconductors Channel of Solid State Technology!

May 7, 2012 — A leading, US-based integrated device manufacturer (IDM) ordered about $5 million of front- and back-end semiconductor automatic optical inspection (AOI) tools from Camtek Ltd. (NASDAQ and TASE: CAMT).

The multiple systems will be installed for various production applications in semiconductor fab and packaging, as early as Q2 2012.

The order “strengthens Camtek’s partnership with the world-leading IDM,” commented Roy Porat, Camtek CEO.

Camtek’s semiconductor AOI tools fall under the Falcon and Gannet lines. Falcon tools can be used post-wafer-dice, post-bump, and around electrical test, among other production steps. Gannet is a submicron macro metrology and inspection tool that inspects all wafers in all lots, at high throughput. Camtek also makes a range of inspection tools for IC substrates and printed circuit boards (PCBs). Learn more at www.camtek.co.il.

May 2, 2012 — CEA-Leti has introduced the “LETI-3S” concept, for “Silicon Specialty Solutions.” The research is oriented to start-ups, component integrators, fabless or fablite chip companies, and equipment/consumable suppliers. Other potential partners include foundries, research centers with a limited processes offer, micro and nanotechnologies companies that do not want low-volume activities, and high-value silicon wafer suppliers.

3S addresses deposition; front side/back side clean, wet etch, and strip; lithography with dual side alignment capability; etching, implant, epitaxy, diffusion; chemical mechanical polishing (CMP), bonding, grinding, dicing; and advanced in-line metrology.

LETI-3S will operate on the Minatec Campus in Grenoble on 24/7 200mm and 300mm wafer platforms in its 8,000-m² state-of-the-art clean rooms. Smaller wafer sizes will also be available thanks to an innovative holder technology. LETI-3S can provide substrates or work on customer wafers, pre-processed or not, under stringent contamination protocols.

LETI-3S ensures a full traceability from process flow conception to product delivery. SPC, FMEA, audits or conformance certificates to specifications are available according to the type of inquiry.

Laurent Malier, CEO of CEA-Leti, said LETI-3S offers “simple access to [CEA-Leti’s] resources.” He expects the program will significantly enlarge Leti’s panel of industrial partners in different sectors. CEA-Leti currently hosts 200 assignees from partner companies.

CEA is a French research and technology organization, with activities in four main areas: energy, information technologies, healthcare technologies and defence and security. Within CEA, the Laboratory for Electronics & Information Technology (CEA-Leti) works with companies in order to increase their competitiveness through technological innovation and transfers. CEA-Leti is focused on micro and nanotechnologies and their applications, from wireless devices and systems, to biology and healthcare or photonics. Nanoelectronics and microsystems (MEMS) are at the core of its activities. Visit www.leti.fr.

Visit the Semiconductors Channel of Solid State Technology!

May 1, 2012 — Solid State Technology and SEMI will present the 2012 Best of West product awards at SEMICON West 2012, July 10-12 in San Francisco. Best of West recognizes important product and technology developments in the microelectronics industries.

This is the second year that Solid State Technology has co-hosted the awards program. Check out last year’s winner: SigmaTech’s UltraMap-TSV system.

All SEMICON West exhibitors are eligible to participate. Consideration for the Best of West awards will be limited to all products, services and technologies publicly introduced from August 1, 2011 to SEMICON West 2012. Entries are limited to two per exhibitor, and the submitting company cannot be representative firms or other third-parties.

Winners will be selected by an independent panel of highly qualified judges from academia and the industry. Entries are judged on their financial impact on the industry, engineering or scientific achievement, or societal impact and benefits. SEMI reserves the right to make all final decisions on eligibility.

Deadline for submissions is extended to May 21, 2012! Submit a Best of West entry.

To enter the Best of West competition, submit company name and contact information, a maximum 750-word description of the new product /technology, and a maximum 300-word summary of why it’s important, in Microsoft Word. Supporting charts, graphs and illustrations must be submitted as part of the Microsoft Word document. Entries that are not submitted in Microsoft Word or otherwise do not follow instructions will be rejected.

Finalists will receive recognition for their achievement through press releases, on the SEMICON West website, through online exhibitor directories, and special booth displays.

Winners will be announced during SEMICON West and will be selected from the pool of finalists. Judges may visit exhibitors during SEMICON West to obtain further information on the submission.

Visit the Semiconductors Channel of Solid State Technology!

April 25, 2012 — Carl Zeiss Semiconductor Metrology Systems (SMS) Division won orders for its extreme ultraviolet lithography (EUVL) actinic aerial image metrology system, AIMS EUV, from 2 of the 4 members of SEMATECH’s EMI partnership (GLOBALFOUNDRIES, Intel, Samsung Electronics, TSMC). The tool allows semiconductor makers to review defects in advanced masks needed for EUVL.

The remaining 2 EMI members are expected to place orders in accordance with their agreed-upon slot assignments, noted Dr. Oliver Kienzle, managing director of Carl Zeiss SMS.

Carl Zeiss SMS developed the AIMS EUV tool in cooperation with the scanner optics department of Carl Zeiss SMT, Lithography Optics (LIT), and external partners.

Kienzle notes that the metrology tool wins “confirm the relevance of EUV technology for the industry.” Metrology tools for EUVL are an industry need that could benefit from governments’ support, asserted Dan Armbrust, president & CEO of SEMATECH, at the SEMI Industry Strategy Symposium (ISS) 2011.

The AIMS EUV platform enables development and manufacturing of defect-free EUVL masks supporting the 22nm half-pitch (hp) technology node, with extendibility to 16nm hp. A first production-ready platform is scheduled for delivery in Q3 2014.

SEMATECH’s EMI project, begun in 2010, tackles the infrastructure gap for EUV mask manufacturing, by funding development of critical metrology tools. EMI is administered by SEMATECH’s Lithography Program, based at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany. At SEMICON West 2011, Stefan Wurm, SEMATECH, discussed EUV lithography’s infrastructure, saying the SEMATECH EMI initiative was successful in developing commercial tools through a joint development agreement with Carl Zeiss, and commercial actinic blank inspection that meets memory manufacturer needs through EIDEC. SEMATECH is now working to extend inspection to meet all industry needs (memory, logic, and foundry).

Recent news from the SEMATECH Lithography program: SEMATECH adds Inpria resists to EUV lithography work

EMI’s first major project involved SEMATECH and Carl Zeiss collaborating on the development and manufacturing of the first actinic aerial image metrology EUV system targeted for EUVL volume production.

Learn more at http://www.zeiss.de.

Visit the Semiconductors Channel of Solid State Technology!

April 23, 2012 — KLA-Tencor Corporation (NASDAQ:KLAC) debuted the CIRCL suite high-throughput defect inspection/metrology/review system for implementation at lithography, outgoing quality control (OQC) and other process modules in semiconductor manufacturing lines.

The cluster tool monitors the wafer’s front and back side, and edge for defects. In parallel it measures wafer edge profile, edge bead concentricity, and macro overlay error. Data collection is governed by DirectedSampling, which uses results from one measurement to trigger other types of measurements as needed.

Advanced memory and logic devices require monitoring of increasing numbers of process parameters, said Oreste Donzella, GM of the SWIFT division at KLA-Tencor. By considering all wafer surfaces in parallel, measurements are made more efficiently. Several defect, inspection, metrology and review technologies are implemented in concert.

Also read: KLA-Tencor uncrates metrology line-up for leading-edge semiconductor wafers

The tool detects and bins macro defect types on the front side of the wafer, from particles to defocus defects spanning several die, to full-wafer defects such as missing resist. It catches low percentages of non-critical defects. A reticle ID check verifies that the correct reticle was used for printing. Macro overlay error monitoring checks layer-to-layer pattern registration. Back-side inspection finds particles and scratches, while edge defects are detected and binned. Edge Bead Removal (EBR) metrology monitors film concentricity and edge integrity to prevent possible delamination defects. Edge profile measurements are automated and calibrated to identify excursions that can result in water bead leakage or film delamination during immersion lithography. Automated high-resolution optical defect review and automated classification of front-side, back-side and edge defects, aid in defect source identification.

The CIRCL suite uses a new generation of KLA-Tencor’s LDS front side macro defect inspection module; a new, modular edge inspection, profile and metrology module based on KLA-Tencor’s VisEdge technology; a dedicated wafer back-side inspection module; and a flexible optical defect review and classification module. The modular architecture enables tailoring for a fab’s needs and reduces footprint in the facility. Upgrades are kept at a lower cost.

The modules comprising the CIRCL suite can be matched to like modules in other CIRCL tools, to facilitate flexible routing of work in progress and to promote baseline stability.

CIRCL modules have been shipped to leading foundry, logic and memory chip manufacturers for use in advanced development and production lines.

KLA-Tencor Corporation provides process control and yield management products, including state-of-the-art inspection and metrology technologies for the semiconductor, data storage, LED, photovoltaic, and other related nanoelectronics industries. Additional information may be found at www.kla-tencor.com (KLAC-P).

Visit the Semiconductors Channel of Solid State Technology!