Category Archives: Metrology

By Darren James, Rudolph Technologies, Inc.
With the cost of some advanced packages reaching several times the cost of the die they contain, the value contributed by functional testing, which allows manufacturers to avoid the cost of packaging defective die, has grown dramatically. Of course, testing has its own costs in both money and time. In addition to capital expenditures, ongoing maintenance, and consumables expenses for test equipment, testing adds as much as several hours per die to the manufacturing process, and several months to product development cycle. The increasing influence of functional testing on profitability has led to careful scrutiny of test processes in a continuing effort to optimize cost-benefit ratios. Probe card test and analysis, which provide the physical interface between the tester and the integrated circuit, has provided significant returns in areas ranging from the development of new probe technologies, to the management of probe card inventories and repair/refurbishing procedures.

Probe card test and analysis looks at several key attributes of probe configuration and performance, including probe planarity and alignment, contact resistance and leakage, and overtravel behavior. Probe manufacturers use this information in the development of new products and technologies, and to help assure the quality of probe cards shipped to customers. Device manufacturers can use the same information to qualify new or repaired cards, develop test procedures, predict scrub characteristics relative to probing requirements, manage card life cycles and inventories, and speed card repairs.

For example, with probe card prices increasing dramatically, reducing card inventory can provide significant cost savings. The rising prices for probe cards reflect the development of new technologies that increase test throughput by testing large numbers of die simultaneously. The most advanced cards, designed to test all die on a 300-mm wafer at the same time, can cost hundreds of thousands of dollars each. Probe cards are subject to wear, contamination, and damage during use. They must be inspected frequently and removed from service periodically for maintenance and repair. To minimize tester downtime and maintain process flow, manufacturers maintain an inventory of spare cards ready to be swapped into the process as needed. The ability of probe card analysis to improve the speed and reliability of inspection and repair processes delivers immediate cost savings by reducing inventory float requirements.

Traditional probe card testers use a multi-touch approach. Probe planarity and certain electrical characteristics are measured by lowering the card toward a flat, conductive test surface, and noting the height at which each probe makes contact. To measure alignment, small sets of probes are moved over an optical window and probe positions are measured at the nominal contact level and again with the specified overtravel. Each measurement covers only a fraction of the card and the sequence of measurements becomes very long for large cards. The accuracy of the measurements is dependent on the stage positioning and care must be taken to check for wear and debris accumulation on the optical window.

The same economic realities that have resulted in the development of full wafer probe cards have driven the development of probe card testers that can analyze an entire full-wafer card with a single touchdown. One company’s probe card test and analysis system* uses a proprietary three dimensional optical comparative metrology (3D-OCM) technique to provide one-touch measurement of the full probe card (Figure 1). The technique relies on a large, very flat, transparent measurement surface that includes a highly accurate fiducial grid. A scanning optical head looks through the grid at the probe tips, which are positioned close to but not in contact with the measurement surface, to derive 3D positions for the undeflected probes. The tips are then lowered to the overtravel position for a second measurement.

Conclusion
The semiconductor industry’s wafer test road map mandates faster and more accurate metrology technology to reduce the testing costs of today’s larger wafers and to help speed product time to market. One touch 3D-OCM measurements reduce probe wear and eliminate stage accuracy as a source of error; ultimately improving the speed, accuracy, precision and machine-to-machine correlation of the measurements. For both probe card manufacturers and device manufacturers, these benefits permit faster development of new products and processes, streamlined outgoing and incoming quality assurance and probe card qualification, and reduced costs for probe card maintenance and repair.

* Rudolph’s ProbeWorx300

DARREN JAMES, Product Manager may be contacted at Rudolph Technologies, Inc.,
4900 West 78th Street, Bloomington, MN, 55435. Rudolph Technologies, Inc. Email:

June Names in the News


June 30, 2008

(June 30, 2008) It was a month for names in the news as acquisitions and evolving business strategies inspired executive appointments and reorganization; industry organizations added members and directors, and books got published. Company announcements came in from JP Sercel Associates, TRUMPF, Dage Precision Industries, ECD, Jordan Valley, Rogers Corp., Formfactor, Unisem Group, Mentor Graphics, and Alchimer.

******

J. P. Sercel Associates (JPSA) announces the opening of a customer service and support office in Malaysia to focus on the combined territories of Malaysia, China, and Singapore, and provide service, installations, and training, and will stock spare parts for customers of JPSA’s laser workstations for wafer processing and micromachining.

Chuan Ki (C.K.) Foo, a direct JPSA employee based in Penang will run the center. Foo holds a Diploma in Electronic and Mechanical Engineering Technology from the Silicon Institute of Technology, Penang, Malaysia. He previously served as Senior Field Service Engineer for the Malaysia installation of Besi Die Handling, Laurier & Datacon divisions.

******

James Rogowski was appointed managing director of TRUMPF Canada shortly after the company acquired the remaining shares of Advanced Fabricating Machinery, which serves the Canadian market as a sales and spare parts facility for TRUMPF products. Rogowski will reportedly manage day-to-day operations and all sales and service activity in Canada. Rogowski is a 10 year verteran of TRUMPF, serving in various positions, most recently as product manager of 2D laser machines and automation.

*******
The High Density Packaging User Group International, Inc. (HDP), a global non-profit cooperative research and development organization for the Electronics industry, announces that Huawei Technologies, Inc. has joined the organization as an Executive Member. Huawei will participate in HDP User Group’s technical programs, and will also have a seat on the Board of Directors. HDP is dedicated to “reducing the costs and risks for the Telecommunications and Computer industries when using advanced electronic packaging and assembly”. This international industry led group organizes and conducts R&D programs to address the technical issues facing the industry, including design, printed circuit board manufacturing, electronics assembly, and environmental compliance.

******

Dage Precision Industries reports that Hal Hendrickson, general manager, and national sales manager for the Americas was elected to the Surface Mount Technology Association’s (SMTA) Board of Directors. Hendrickson has been an active member of SMTA since 1991 and has been actively involved in the electronics industry since 1974. His goal in serving on the SMTA Board of Directors is reportedly to help the association achieve its full potential and success as a training and networking organization as well as to expand into new areas of global involvement.

*******

ECD of Milwaukie, OR, has selected Kroschewski Industrial Electronics (KIE) GmbH as the distributor of ECD’s full line of thermal profiling products in Germany and Austria. After the company announced it had become a full-line distributor at SMT/Hybrid/Packaging in Nuremberg, KIE GmbH is led by Jascha Kroschewski, who holds a Dipl.-Betriebswirt Geschaftsfuhrung degree. Previously with Peter Jordon GmbH for thirty-five years, Mr. Kroschewski is well known in the industry, and brings a wealth of experience to serve ECD’s customers across a wide range of applications.

*******

Metrology solution provider, Jordan Valley Semiconductor, Ltd., has tapped Meir Mimon as worldwide VP of sales and marketing. Mimon will lead Jordan Valley’s aggressive growth strategy, with a focus on enhancing worldwide customer support operations and increasing Jordan Valley’s market share in the thin film and materials characterization metrology market segments. The announcement follows Jordan Valley’s recent acquisition of Bede, effective April 14th, 2008. Bede supplies HRXRD (High Resolution XRD) metrology for the semiconductor and compound industries. Mimon joined Jordan Valley in January 2008 as the VP of Business Development, responsible for the Bede acquisition project ended in Apr 2008 when JV acquired the assets, IP’s and technology of Bede, UK. Previously, he served as the corporate sales director for KLA-Tencor’s metrology group and overlay division based in San Jose, CA.

******

Rogers Corporation recently appointed Michael D. Bessette, V.P. to lead its Advanced Circuit Materials Division (ACMD) in Chandler, Arizona. A 33-year veteran of Rogers, He comes to the ACMD from Rogers’ Durel Division, also located in Chandler. Previously, Bessette worked at Rogers’ R&D department in Connecticut, where he was responsible for new product development and business commercialization, and also in Japan, where he headed up Rogers’ joint venture with INOAC Corporation, which manufactures Rogers’ elastomer materials.
Robert Wachob, President and CEO of Rogers Corporation, says this appointment comes at a critical time as the company strives to accelerate growth in that division. Rogers ‘ ACM Division manufactures high performance laminates and thin dielectric circuit materials for use in high frequency applications in a variety of global industries, including wireless base station, defense/aerospace, automotive, high speed digital, and advanced chip packaging.

******

Formfactor’s board of directors appointed Mario Ruscev, currently president, as its next CEO. Ruscev, 51, will succeed Igor Khandros, 53, FormFactor’s founder, who will become executive chairman of the FormFactor board of directors. Khandros will succeed Jim Prestridge, 76, its current chairman, who will remain on the FormFactor board of directors and become its lead independent director. The changes will become effective at the beginning of the company’s fiscal third quarter of 2008. Speaking on behalf of the BOD, Prestridge ascertained that the Khandros and Ruscev are the right team to lead the company through its next stage of growth.

******

Earlier this month, Unisem Group announced the resignation of Bruno Guilmart, executive director and group CEO in order to pursue other interests. His resignation was accepted by the board of directors and took effect June 4 2008. C.H. Ang, group COO, will be taking over all of Guilmart’s responsibilities and will be leading Unisem moving forward. Unisem reportedly does not anticipate any additional changes to the group’s organizational structure, nor does the company anticipate disruptions its daily operations.

*******

Alchimer, the nanometric films for through-silicon via (TSV) metallisation company, has appointed Lenix as its representative in Korea. This exclusive agreement means Lenix is sole agent for Alchimer’s products in Korea. As such, the company’s formulations and process IP for its electrochemical deposition process will reportedly be available from Lenix. Lenix is owned and run by semiconductor industry veteran Sang-Sok Lee, president and CEO. Lee created Lenix earlier this year to focus on advanced 3D packaging and TSV technology. The company is also provides carrier tape as the final packing media of packaged ICs.

*******

Mentor Graphics Corporation announced the publication of a book written by Charles Pfeil, engineering director of Mentor’s Systems Design Division, entitled BGA Breakouts and Routing, Effective Design Methods for Very Large BGA. This publication reportedly explores the impact of dense ball-grid array (BGA) packages with high pin-count on PCB (printed circuit board) design, and provides solutions for inherent design challenges.

“Using a BGA is the most common method today for packaging a high pin-count or very dense application specific integrated circuits (ASIC) and field programmable gate arrays (FPGA). BGAs have been proved to be a reliable, cost-effective package while at the same time providing flexibility to address miniaturization and functional requirements,” said Pfeil. “However, as BGA density and pin count continue to increase, the designer’s ability to effectively design with the devices has not kept pace. Fortunately, significant advancements in PCB fabrication technology have enabled further miniaturization in the manufacturing process.”

These improvements, along with new software and design methods specifically for BGAs provide a means to successfully design using these devices.

June 27, 2008 — François Blateyron, R&D director at Digital Surf , received a 2008 Normalisation Trophy 2008 from the UNM, the French union for normalisation in the domain of mechanical engineering, for his work on surface metrology on French and ISO standards committees.
Mr. Blateyron has been developing surface metrology solutions at Digital Surf since 1992. In 1999 he became a member of the UNM committee responsible for defining surface metrology standards in France and one of the French experts on ISO technical committee TC213. He is an active member of work group WG15, responsible for advanced filtration techniques defined in ISO 16610, and work group WG16, responsible for defining ISO 25178, the first international standard on 3D areal surface texture.
In addition to thanking his UNM and ISO colleagues, Mr. Blateyron expressed particular thanks to Digital Surf software engineers who implemented new algorithms for ISO 16610 filters and for calculating ISO 25178 3D parameters, thereby making an unseen but nonetheless significant contribution to the new standards. In his capacity as R&D director of Digital Surf, Mr. Blateyron has ensured the full integration of the new filters and parameters into software based on Digital Surf’s Mountains Technology.
Mr. Blateyron has made a major contribution to the diffusion of the new standards at international conferences and in the press. In addition he has worked closely with the JSPE (Japan Society for Precision Engineering) to explain the new standards and to achieve the participation of Japanese industrialists in ISO.

The WS 3840, Rudolph Technologies’ latest addition to the Wafer Scanner product family for inspection and metrology, integrates the company’s laser triangulation technology for 3D bump metrology and sensitive 2D image-based macro defect inspection on the same wafer handler platform that is used by all of its advanced inspection and metrology tools. Laser triangulation reportedly allows for fast and accurate measurement of bump height and coplanarity.

A time delay integration (TDI) line scan camera provides image-based macro defect inspection for wafer surface and bump, and measures 2D bump characteristics, such as diameter, shape and placement accuracy. High-resolution color imaging is also available for defect review and classification. Rudolph’s inspection platform can handle 200 and 300 mm wafers, and combines fast robots and intelligent scheduling capabilities to maximize handling throughput. Automatic defect classification, sophisticated analytical routines and comprehensive reporting extract actionable information from the massive data stream.The system imports and exports wafer maps in all major data formats to facilitate offline defect review. Rudolph Technologies, Inc. Flanders, NJ, www.rudolophtech.com

June 17, 2008Seashell Technology, a nanotechnology development company, has successfully scaled manufacturing processes for silver nanorod and nanowire production to kilogram scale quantities. The ability to deliver uniform nanomaterial populations at this scale will enable the successful commercial development of unique devices for use in biomedical, thermal, electronic, metrology, environmental and defense applications.
“The enhanced functional properties conferred by integration of nanomaterials into composites have long been recognized, yet the successful commercial manufacture of sufficient quantities has limited the development of improved products incorporating these nanomaterials.” says Dr. David Schultz, vice-president of Seashell Technology. “We have overcome this barrier for the production of silver nanowires and nanorods and have delivered multi-kilogram amounts for evaluation in customer specific applications.”
Silver nanorods and nanowires have been used in research laboratories as components in electronic and biomedical devices, providing a potentially superior alternative to currently available carbon nanotube technology.
Seashell Technology supplies nanoparticles and nanostructured materials for academic research, development projects and for industrial processes. Seashell Technology’s manufacturing processes can be used to create silver nanorods and nanowires with diameters as thin as 50 nanometers and lengths as great as several hundred microns. Controlled processing allows the synthesis of nanowire populations with defined uniform size ranges. Gram quantity batch size manufacture can be performed for initial assessment of nanomaterial utilization in customer applications.
The interdisciplinary team at Seashell Technology has the capability to manufacture a wide range of multifunctional particles with nanoscale precision for a variety of applications. Ongoing commercialization efforts include the development of a number of nanofabricated specialized coatings with unique functionality. Specialized particles used as transport vehicles for high efficiency delivery of DNA and siRNA to target cells and tissues are offered for use in biotechnology research by academic and industrial scientists.

June 16, 2008 — The University of Waterloo, in Ontario, Canada, has broken ground on the $160-million Mike and Ophelia Lazaridis Quantum-Nano Centre designed to propel the university and the country to the forefront of the nanotechnology research. The Government of Ontario is providing $50 million for construction of QNC, with another $22 million coming from a $50 million donation from the Lazaridis family. The remaining funding involves federal funding, private donations and university funds.

The Mike and Ophelia Lazaridis Quantum-Nano Centre is scheduled to open late in 2010 or early 2011.

“This is an exciting time for science and the University of Waterloo,” says UW chancellor Mike Lazaridis. “In addition to housing state-of-the-art research labs, this new building will provide a unique and cutting-edge environment that will bring together the brightest minds in basic and applied research to explore and advance quantum computing and nanotechnology.”

The new centre will be home to two forefront areas of science and engineering — quantum information technology and nanotechnology. It will house the Institute for Quantum Computing, the Waterloo Institute for Nanotechnology and UW’s undergraduate program in nanotechnology engineering.

The facility will include a 10,000-square-foot class 100 and 1000 clean room with state-of-the-art fabrication facilities for quantum and nano devices, an advanced metrology suite, extensive teaching and research laboratories, seminar rooms and offices. It will also feature low vibration, low electromagnetic interference and radio frequency interference environments employing advanced structural, mechanical and electrical designs.

It will be able to accommodate the needs of up to 400 academics, equally split between the quantum and nano sides, with most coming from the faculties of engineering, mathematics and science. Mechanical and electrical systems account for close to 50 per cent of the construction costs.

“This is a significant investment, not just in the University of Waterloo, but in Ontario and Canada,” says David Johnston, president and vice-chancellor of the university. “The provincial government, Mike and Ophelia Lazaridis, and all other supporters of this project should be commended for helping UW researchers excel at the forefront of quantum information and nanotechnology.”

“This kind of innovation is the cornerstone of the economy we are building in Ontario in the 21st century,” adds Ontario Premier Dalton McGuinty.

by Ed Korczynski, Senior Technical Editor, Solid State Technology

June 13, 2008 – The next 10 years will witness more changes in mainstream IC manufacturing technology than in the last than in the last 40 years combined. Innovation will continue despite (or because of) limits in 2D scaling. With rapidly escalating costs projected for ≤32nm-node digital CMOS manufacturing, IC companies are turning to analog, packaging, and heterogeneous integration to add greater value for lower cost and risk. In short: unique process integration challenges at each fab will drive everything, as shown recently by presentations at the recent International Interconnect Technology Conference IITC.

What are the ramifications of all of these subtle changes? With basic “unit-process” building blocks fairly well established, it is likely that the only fundamentally new tools to be developed will be in the metrology space. The current generation of thin-film, lithography, and thermal processing tools are extremely productive and should continue to be used with modest evolutionary upgrades over the next 10 years (with the probable exception of EUV lithography). New materials and evolutionary upgrades to old materials will continue to support new integration schemes in fabs, while most of the tools will remain the same.

Click here to read more…

by M. David Levenson, Editor-in-Chief, Microlithography World

As the semiconductor industry advances toward the 32nm node, overlay specifications have narrowed dramatically, requiring control of high-order grid and field distortions, according to the International Technology Roadmap for Semiconductors (ITRS). Seeking to meet the new requirements is KLA-Tencor’s new Archer 200, the latest version of the company’s imaging overlay measurement tool.

Boasting a total machine uncertainty (TMU) of 1nm (25% better than the Archer 100) and 25% better throughput, Archer 200 will facilitate high order overlay control for all three exposure tool vendors, according to Noam Knoll, VP of marketing for the company’s overlay group, who gave an overview of the new tool for WaferNEWS. The Archer 200 uses the KLA-Tencor AIM grating alignment mark and is compatible with 10μm2 μAIM targets as well.

Example of overlay error. (Source: KLA-Tencor)

Overlay performance good enough for double patterning requires accurate modeling of displacements and distortions, including higher order errors within the field. Accurate modeling requires taking more measurements — for example, Knoll estimated that 3rd order field distortion requires six targets within the exposure field to capture adequately. The use of μAIM targets minimizes the loss of useful real-estate. Capturing the higher-order grid and field distortions (with several hundred measurements/wafer) reduces the residual errors by 40%, according to Knoll. With a move-acquire-measurement (MAM) time of 0.65sec (20% faster), the Archer 200 maintains a throughput of 100 wafers/hr. In a statement, KLA-Tencor listed the redesigned systems’ other improvements, including a 20% improved “tool induced shift” of 0.8nm and 30%-50% better matching, with unspecified “greater measurement repeatability.”

The Archer 200 can also be equipped with a SCOL module for scatterometry-based overlay measurements. The TMU of the SCOL system was even smaller at 0.7nm, but throughput is reduced, Knoll reported. Owners of Archer 100 tools will have an upgrade path to the 200, according to Charles Lewis, KLA-Tencor’s global PR director, and there is extendibility to next-gen products that combine overlay and CD metrology. — M.D.L.

AIM and μAIM alignment marks. (Source: KLA-Tencor)

May 8, 2008 — Agilent Technologies Inc. (NYSE: A) has announced a new precision optics tool: a Multi-wavelength Laser Combiner for microscopy applications in micro and nano R&D. Developed to work with laser-based tools in the rapidly expanding microscopy market, the laser combiner is designed for applications including epifluorescence, laser scanning confocal, and flow cytometry. The new combiner provides 19 wavelength options that can be used in three-channel to eight-channel configurations. As research needs change, new laser wavelength channels can be added, or laser lines can be changed within channel.

“The Agilent Multi-wavelength Laser Combiner offers researchers flexibility not currently available for microscopy platforms,” said Bill Volk, Agilent general manager, Nano Positioning Metrology Division. “Existing combiners lock users into a fixed set of wavelengths, which limits flexibility. Users are forced to rework their systems to support new wavelength requirements. Our design allows users to upgrade their system and easily add new wavelength options as their application needs change.”

Earlier this Spring Agilent expanded its nanotechnology development capacity with two acquisitons.

The Multi-wavelength Laser Combiner gives new options to a wide variety of OEM and end-user applications for fluorescence microscopy including FRET, TIRF, FLIM, FRAP and FISH. It is based on Agilent’s complex monolithic optic (CMO) design, which incorporates many individual optical components into one monolithic structure. CMO architectures provide a highly flexible platform and are customizable to meet specific system requirements. This is the first implementation of a CMO design for microscopy applications.

The CMO design also offers superior alignment throughout a product’s lifetime because the optics are bonded into a single pre-aligned optical structure. As a result, they do not drift out of alignment due to vibration or fluctuations in ambient temperature. This is a key factor in maintaining stable light. In addition, fewer exposed optical surfaces decrease environmental contamination, reducing cleaning and maintenance time.

More information about Agilent optics is available at www.agilent.com/find/optics.

May 6, 2008 — Aerotech calls its SolarScribe automation series “the most comprehensive photovoltaic panel scribing system available.” It includes both mechanical and air-bearing versions of split-axis and gantry-style systems for maximum application flexibility.

The company says it has optimized all design elements to provide maximum throughput while maintaining tight control of geometric characteristics such as dynamic straightness. In addition, because each customer and solar technology application has its own set of requirements, Aerotech has designed the SolarScribe system to include a multitude of options like multi-head scan axes, Z and theta correction axes, and machine base/isolation systems.

The SolarScribe is controlled by Aerotech’s Automation 3200 control system, a 100% digital system with high performance networked drives. The fully digital architecture makes it easy to optimize the current, velocity, and position servo loops for maximum performance. Advanced trajectory generation capabilities, such as multi-block look ahead, promise to minimize dynamic errors while the Position Synchronized Laser Firing Output (PSO) functionality automatically adjusts the laser pulse frequency to match the current scribing speed, providing an additional throughput boost.

Last year Aerotech introduced a hi-res rotary air-bearing stage designed to meet the exacting requirements of MEMS/nanotechnology device fabrication, wafer inspection, high-precision metrology, and other such applications.

Aerotech also offers Motion Designer, a software GUI for generating or importing motion trajectories, and running and then evaluating the trajectory. These functions typically require hours of programming and debugging to implement, but Motion Designer simplifies motion profile programming.

Aerotech has more than 35 years of motion control and positioning system experience, and offers to develop solutions for applications ranging from off-the-shelf to custom and vacuum-prepped products.