Category Archives: Metrology

Jan. 7, 2008 – Metrology equipment supplier Metryx Ltd. and IMEC have formed a joint development program centering on evaluating and developing mass metrology at both the application and tool level for sub-32nm process manufacturing.

The deal follows IMEC’s receipt of two Metryx tools last summer (Mentor SF3/300mm, Mentor OC23/200mm), to be used for monitoring shallow trench isolation, etch, deposition, and CMP for both metal and dielectric layers. The company says the tool can be used inline and directly on device wafers, with “atomic-level repeatability” and up to 60 WPH throughput for production, test, and blanket wafers, independent of substrate size or material.

“As we continue to scale down line widths to the sub-32nm level, observing the mass characteristics and the changes of a wafer’s mass becomes even more critical,” said Metryx president/CEO Adrian Kiermasz, in a statement. “Collaborating with IMEC provides us with an excellent platform to demonstrate the effectiveness and versatility of the technology across a number of process applications.”

“Mass metrology gives us extremely good insight into both process development and process stability,” added Serge Vanhaelemeersch, director of advanced materials and process steps at IMEC. “At the sub-32nm level, any slight variation in a deposition or etch process can be highlighted immediately and with a great deal of accuracy. With such precision, we know whether we are right or wrong at a very early stage, which could significantly reduce development time and scrap.”

December 19, 2007 – In a bid to extend into wafer probing, Rudolph Technologies has acquired the semiconductor business assets of Applied Precision LLC, a provider of wafer probe card metrology systems and wafer probe process management technologies. Terms of the cash-and-stock transaction were not initially disclosed.

For Rudolph, the deal “accelerate[s] our efforts to be a more complete supplier of back-end equipment and software,” said chairman/CEO Paul McLaughlin, in a statement, noting that this deal is yet another sign of continuing industry consolidation. He also referred to unspecified “multiple synergies between the two organizations.”

Nathan Little, EVP and GM of Rudolph’s inspection business unit, added that the two companies “have an established presence in the final manufacturing arena and are well positioned to address new opportunities in wafer probe card test and analysis.”

The Applied Precision business will be reformed under a new “probe card test and analysis” division within Rudolph, which will have the “number one market share position in the probe card analytics market,” said Little.

This marks the latest in a series of M&A activity in the test realm to consolidate and widen companies’ reaches. In just the past few weeks, Teradyne has acquired Nextest to get back into the flash memory tester business; meanwhile, test tool supplier Verigy said it would buy time-to-yield firm Inovys to better target “the crossroads of design, manufacturing and yield metrology.”

December 19, 2007 — Steinmeyer, Inc. has announced a new high precision XY linear stage model KT 310 – 210 – DC. Manufactured from high strength anodized aluminum, the standard table promises travel of 200 mm in both axes with positioning accuracy of 5 µm (micrometer), straightness/flatness of 3 µm and repeatability of +/- 1µm.

With a sleek, low profile design, it has a square footprint of 310 x 310 mm, height of 80 mm and weighs only 11 kg. Features include: preloaded cross roller bearings, precision ground ball screws, limit switches, and integrated dc motors with rotary encoders.

With optional linear encoders, repeatability is +/- 0.1 µm.

Because motors, encoders and cabling are all located inside the table body, the result is very clean with no moving cables as the table travels. All motor, limit switch and encoder interface are via SUB-D connectors located in a single area. The stage is designed for semiconductor metrology, biomedical, miniature robotics, and laser industry applications.

Flexible, large-area, low-cost electronics potentially have a huge market potential. Some studies reportedly indicate that the organic-electronics market will even exceed the size of the silicon semiconductor market as it is today. Rollable (or flexible) displays and low-cost electronic labels (e.g. RFID tags) are only two of the ‘killer applications’ associated with organic transistors. To comply with this promising future, the effort in research and development at Holst Centre is focused on novel materials, device structures, and processing methods that will further help the organic electronics industry.

(December 20, 2007) FLANDERS, NJ — Rudolph Technologies Inc. has signed an agreement to acquire the net assets of the semiconductor business of Applied Precision LLC, a provider of precision wafer probe card metrology systems and wafer probe process management systems to semiconductor final manufacturing facilities. Applied Precision will become part of Rudolph’s newly-formed Probe Card Test and Analysis Division.

December 10, 2007 – KLA-Tencor and Nikon say they have developed a set of fully automated system tools for correcting overlay errors in “mix and match” lithography setups that encompass tools of varying capabilities and from different suppliers.

Most chipmakers using leading-edge (and expensive) lithography equipment only for the most critical levels, and less advanced equipment in other steps. Each of these tools, though, has a different field distortion signature that can cause significant overlay error at 45nm and below process technologies, the companies explain. The codeveloped technology, dubbed “scanner match maker” (SMM), lets users more tightly control different illumination conditions and combinations of these multiple scanner setups — e.g. immersion and dry tools, and/or leading-edge and more mature equipment.

“Today, chipmakers’ overlay budget has almost no margin of error with regard to scanner alignment performance. Mix and match methods can cause scanner fleet alignment performance to be less than half that of dedicated, single-tool overlay operations, and mixing scanners from different suppliers make these errors are even more significant,” said Toshikazu Umatate, GM of development headquarters at Nikon Precision Co., in a statement. “Our SMM toolset is intended to help customers implement sophisticated correction procedures that can overcome inherent overlay errors caused by differences in tool distortion signatures, making it much easier to match a fleet of lithography tools.”

The SMM overlay technology works thusly, according to the companies:

– A reference wafer is exposed by a common planned-maintenance (PM) reticle, measured (by KLA-Tencor’s Archer overlay metrology tool) and analyzed (by the KT Analyzer). Baseline distortion signature data is collected; overlay data is sent to a database server. Meanwhile, the litho scanner sends exposure condition data associated with the overlay metrology data, including machine name and illumination condition. A SMM mix-and-match database is created automatically, with strict user approval and security.

– Incoming production lots to the scanner receive current and previous processing layer information from the SMM database, with distortion and grid signatures from both layers. (Though scanners can adjust stage grid and field distortion for matching based on PM procedures, the current layer usually has a different signature from the previous one.)

– The scanner adjusts the distortion and grid signature using the SMM technology, with Nikon’s “super distortion matching” (which controls field distortion on a scanner’s current layer) and “grid compensation matching” (which controls the stage grid of a current layer) functions to match the target layer’s signatures.

Because the companies eventually plan to connect SMM with scanners from other suppliers, it includes “a built-in security system” to protect the scanner’s proprietary information, stated Ofer Greenberger, VP and GM of KLA-Tencor’s overlay metrology group.

The companies say the SMM technology is currently being evaluated by a “major” Japanese semiconductor manufacturer, where it has demonstrated >30% improvement in overlay performance; automating the procedure also increases accuracy and saves time, they note. The SMM toolset is slated for release in 1Q08.

by Ed Korczynski, Senior Technical Editor, Solid State Technology

With lithography depth-of-focus specs pushing on other areas of technology, one area that has taken up the slack is the starting silicon wafer’s planarity. KLA-Tencor shows data that wafer flatness of ~100nm at 130nm has dropped to ~50nm for 45nm node processing. “Maybe you need to think about your starting material in terms of managing your depth-of-focus,” opined Dan Lopez, director of marketing for the company’s ADE division. This bare wafer metrology business primarily supplies wafer manufacturers, though devicemakers constitute~10% of the total demand, using ADE tools for incoming quality control (IQC). KLA-Tencor claims 95% global market share for new bare wafer metrology tool sales.

Another area of recent concern for manufacturing at advanced nodes is minimizing a nanometer scale surface change seen within the outer 5mm of a wafer, termed edge roll-off (ERO). “For DRAM guys, it’s purely driven by slim profits and the further to the edge they can make chips is a lot of the potential profit. For logic guys they’re typically performance driven and ERO can affect both CMP and lithography,” explained Jerry Liu, product marketing manager for WaferSight. “What people can do is partially limited by the metrology, too.”

Thus the company has ushered in its upgraded WaferSight2 metrology system, with higher spatial resolution (0.2mm from the 0.5mm) than its predecessor, allowing greater ERO resolution in terms of ZDD (Z-height double-derivative, in units of nm/mm/mm) which is the parameter that most closely tracks IC performance. The WaferSight2 gauge reference flat assembly holding the interferometric optics has been resigned for both reduced particles and improved maintenance and mechanical stability. Upgraded optics that go along with the upgraded stability of the gauge assembly allow for the higher resolution and improved tool matching (200% tool-to-tool improvement vs. WaferSight 1).

A single measurement collects all of the following without the wafer flipping: frontside and backside nanotopography, wafer shape, flatness, and ERO. The higher resolution of WaferSight2 allows nanotopography capability, which had formerly required inclusion of a separate tool (such as KLA-Tencor’s Nanomapper) to measure both sides simultaneously. “People have asked us for years to provide an integrated solution, and with the recent technology breakthrough we can now do it,” said Liu. “It’s a next generation tool, with improved cost-of-ownership.” Beta tools have been out (Soitec reportedly is an early customer), and some production orders have been received. — E.K.

December 6, 2007 – Verigy, the former semiconductor automated test equipment (ATE) business of Agilent Technologies, has agreed to acquire privately held Inovys, which develops design, failure analysis, and yield software, for an undisclosed amount, a deal that enables the companies to better target “the crossroads of design, manufacturing and yield metrology.”

Demands for ROI from leading-edge chipmaking facilities, plus the trend toward a more distributed manufacturing model involving fabless companies and foundries, is pushing for more and higher levels of integration for design and production test, the companies explained in a statement.

“Time-to-entitled-yield becomes a critical metric that places the focus squarely on test,” said Keith Barnes, chairman, CEO and president of Verigy. Combining Verigy’s V93000 system with Inovys’ design-for-test and design-for-manufacturing tools generates “significant time-to-yield value,” he said.

“Together we bridge the gap between design validation/silicon debug and high-volume manufacturing,” noted Inovys CEO Paul Sakamoto. “We are excited to have found a company with such a complementary culture, product line, commitment to customer satisfaction, and vision. And with Verigy’s increasing market share at the more challenging designs and process nodes, we are even more confident of our success moving forward.”

Inovys says its test suite reduces design debug from weeks to hours, lower production test costs by up to a factor of three, and support real-time yield enhancements with unique failure analysis tools. The combination of Inovys tools and Verigy’s “production workhorse” V93000 SoC test platform “[has] shown tremendous economic benefits in a recent customer experience,” the firms stated. Inovys has about 50 customers including IDMs, fabless firms, backend outsourcing firms, and foundries.

December 5, 2007 – SEMATECH has laid out general info about its 300mm “next generation factory” program, describing new and updated projects within its efforts to help the industry find ways to lower costs and reduce cycle times for 300mm wafer manufacturing, and establish a “proving ground” for concepts relevant to 450mm wafer-size manufacturing.

The two new projects, First Wafer Delay/Setup Reduction and Small Lot Size, aim to respectively reduce the time between lot delivery to a process tool and when the first wafer begins processing, and define equipment and manufacturing specs to enable small lot sizes for high-mix or accelerated cycle time production.

Three other projects within the 300mm NGF program are receiving updates, as follows:

Predictive Preventative Maintenance (PPM): Refocused to establish member company requirements, guidelines, and feasibility for using data to improve equipment availability and productivity;

Equipment Data Quality: establish member company requirements, guidelines, and best practices for the availability and delivery of high-quality data;

Fab-wide EDA proliferation: enable current and future analysis of large amounts of data transferred in real time, widened to support other projects including PPM, data quality, and equipment chamber matching.

These programs are part of SEMATECHs’ larger focus on 300mm semiconductor manufacturing infrastructure, work that includes 300mmPrime and other programs in continuous improvement, 450mm manufacturing, metrology, and environment/safety/health.

While a major part of the work is to develop a “proving ground” for concepts and technologies applicable for 450mm chipmaking, much of the work is applicable to existing 300mm sites, and some is transportable to older 200mm and 150mm factories as well, noted ISMI director Scott Kramer, in a statement.

“Current 300mm fab productivity is just as important as the eventual transition to 450mm manufacturing, and the NGF program is a critical enabler to the 450mm program,” he stated. “Our aim is to achieve significantly higher manufacturing productivity for all of our member companies and the industry, in the near future and beyond.”

November 14, 2007 — /PRNewswire/ — REHOVOT, ISRAEL — Nova Measuring Instruments Ltd., provider of leading-edge stand-alone metrology and the market leader of integrated metrology solutions to the semiconductor process control market, announced earlier this week the opening of a new development and demonstration center in Taiwan, to serve its customers in Taiwan, Korea, China, and Singapore.

Located at Nova’s Asia-Pacific headquarters in Hsin Chu, the facility will serve as a knowledge center and application development lab for customer applications. Additionally, it will host joint development projects with semiconductor companies. The center will showcase Nova’s state-of-the-art NovaScan Stand Alone Metrology products and NovaMARS modeling and application development platform, including a high-power computer farm for complex modeling applications. The center will also house the CrystalX II x-ray tool, which is geared toward polycrystalline analysis of metallic materials used in the semiconductor manufacturing process.

Commenting on the new center, Gabi Seligsohn, president and CEO of Nova, says, “The center is a reflection of our commitment to maintain a close relationship with our customers. Taiwan continues to play a key role in today’s semiconductor market and represents a significant part of our business. After several years of successful collaboration with our Taiwanese customers in the area of integrated metrology, we are pleased to now offer a wider product portfolio with our stand-alone metrology platforms and expand our cooperation further.”

The grand opening event held earlier this week in Hsin Chu, Taiwan, hosted senior managers and professionals from the semiconductor industry in Taiwan. In his keynote address at the opening of the facility, Nick Bright, a leading industry executive and a member of Nova’s board of directors, noted that Taiwan is expected to overtake the United States as the world’s second largest microchip supplier, possibly as soon as this year. “Nova’s strategic decision to open this demo center and application lab in Hsin Chu recognizes Taiwan’s influence in technology developed worldwide.”

According to Taiwan’s Semiconductor Industry Association (TSIA) in 2006, Taiwan’s 13 manufacturing companies’ revenues were NT$767 billion (US$23.7 billion). Taiwan’s foundries generate about 60 percent of the world foundry revenues and Taiwan’s DRAM manufacturers generate about 25 percent of the world’s DRAM revenues.

About Nova
Nova Measuring Instruments Ltd. develops, produces, and markets advanced integrated and stand alone metrology solutions for the semiconductor manufacturing industry. Nova is traded on the NASDAQ & TASE under the symbol NVMI.

Source: Nova Measuring Instruments Ltd.

Visit www.nova.co.il

November 15, 2007 – Semilab Co. Ltd., a Budapest, Hungary-based supplier of noncontact wafer mapping metrology systems, has agreed to acquire Pittsburgh, PA-based SSM Inc. for an undisclosed cash amount, and reorg the business as a new division for developing and selling electrical metrology systems for semiconductor manufacturing.

For Semilab, the deal will increase the firm’s presence across the board: technology development, market reach, and sales/service support, noted Tibor Pavelka, founder/president/CEO of Semilab, in a statement. “The acquisition is an integral part of Semilab’s strategy to become one of the largest suppliers of specialized semiconductor silicon electrical measurement equipment in the world,” he said.

Combining SSM’s precision contact metrology technology to its own noncontact mapping metrology “will create the most complete electrical metrology offering for the semiconductor industry,” and synching engineering efforts will also accelerate time-to-market for new products, added Robert Mazur, founder and retiring chairman of SSM.