Category Archives: Metrology

March 27, 2007 – Days after consolidating some of its manufacturing operations in Korea, Nanometrics Inc. has undergone another shift — this time in the CEO’s office. John Heaton has left the company (no details were provided by the company), with chief strategy officer Bruce Rhine taking the reins on an interim basis while a search is conducted for a permanent CEO.

Rhine was chairman/CEO of Accent Optical Inc., which Nanometrics acquired a year ago for ~$81 million. AOI also apparently was a catalyst for the company’s subsequent purchase of overlay metrology supplier Soluris, according to a prior WaferNEWS interview with CFO Doug McCutcheon.

“Since his arrival at Nanometrics seventeen years ago, John has worked to strengthen the company and position it as a leader in the metrology systems market. We wish him continued success in his career,” said Nanometrics chairman Vincent Coates, in a brief statement. No other details of Heaton’s departure were disclosed.

The move also comes just days after Nanometrics announced it will consolidate all of the company’s overlay metrology production at a facility in Korea, where Nanometrics already makes its Orion and Caliper systems, a move that will result in the closure of Soluris’ Concord, MA facility.

March 21, 2007 – Nearly one year to the day after acquiring overlay metrology firm Soluris Inc., metrology equipment supplier Nanometrics Inc. says it is closing the firm’s Concord, MA facility and consolidating all of its overlay metrology production at a facility in Asia.

The decision essentially closes down Soluris’ Concord, MA headquarters, and shifts production of all of Soluris’ IVS product lines into one location in South Korea, where Nanometrics already makes its Orion and Caliper systems. The company says engineering and design resources will be pooled at the Korea site, though advanced overlay development will continue through a team in York, UK. The move is expected to be completed by 3Q07 and save more than $1 million annually.

“By consolidating our overlay manufacturing operations into one central facility in Asia, we are able to focus dedicated resources and improve manufacturing systems, providing our customers with enhanced product consistency, reliability and overall quality,” said Bert Plambeck, Nanometrics’ director of overlay product marketing, in a statement. “We see this convergence as a key factor in our ability to continue to provide best-in-class overlay metrology systems to our customers worldwide.”

Soluris was formed in 2003 through a management buyout of optical and electron metrology assets of Schlumberger Ltd.’s semiconductor group. The company’s flagship IVS 155 product, used for 200mm semiconductor overlay and CD measurement, boasted a user base of 150 installed systems worldwide.

The Nanometrics buyout of Soluris — just a month after it snapped up other complimentary technology with scatterometry pioneer Accent Optical Technologies — officially ended Soluris’ other project, Yosemite CD-SEM technology, which had drained all the company’s profits and faced a long uphill battle vs. entrenched and far larger competitors.

March 16, 2007 – Bede X-ray Metrology has announced that Austin, Texas-based SEMATECH, the global semiconductor consortium for commercializing of technology innovations into manufacturing solutions, will use a Bede x-ray metrology system to evaluate novel semiconductor materials needed for the 45nm and 32nm technology nodes and beyond.

The Bede system will be used by SEMATECH researchers to investigate leading-edge frontend materials including SiGe, metal gates, and high-k dielectrics. More specifically, the system will assist metrologists in investigations into the phase and degree of crystallinity present in high-k dielectrics and metal electrodes, and the determination of the strain in SiGe films.

“Our evidence shows that the phase and crystallinity in high-k material have an impact on a device’s electrical characteristics,” says Alain Diebold, SEMATECH Senior Fellow and metrology expert. “Using XRD allows us to fine-tune the process, and speed up high-k development. Currently, XRD is a leading technique for measuring strain and composition in SiGe.”

X-ray metrology offers increased analysis of material properties and parameters, including thickness, at atomic levels, as a result of its short wavelength. However, Bede claims, unlike optical and opto-acoustic metrology, the system also measures strain, relaxation, phase, composition, and density — information that is key for full characterization of materials.

Frank Hochstenbach, director of sales and marketing, and responsible for customer partnerships at Bede X-ray Metrology, says, “I am delighted that SEMATECH, an organization which addresses critical challenges in advanced technology and manufacturing effectiveness on behalf of its worldwide membership of semiconductor manufacturers, is using our system to help to deliver new solutions and value to its customers and to the industry.”

For more recent SEMATECH news, see “SEMATECH: Planar CMOS, not finFETs, favored through 22nm”.

by M. David Levenson, Editor-in-Chief, Microlithography World

Double-patterning technology (DPT) emerged as the most viable next patterning method at last week’s SPIE Advanced Lithography Symposium. Progress in water immersion exposure technology since the last meeting has been so convincing that its insertion into manufacturing at the 55nm and 45nm generations (as reported by Toshiba, STMicro, and others) is not likely to be interrupted. However, the refractive index of water sets a resolution limit, and the consensus is that the new fluids and systems that would push beyond that limit cannot be ready in time. Thus, some evolutionary step has to be taken to extend immersion technology and keep up with Moore’s law. Double patterning seems to be the step that will take us to 32nm.

There are at least four distinct near-term options for double-patterning lithography, which is distinct from “double exposure.” In double exposure (widely used with alternating phase-shifting masks), two images from two distinct masks are printed into the same resist layer, which is subsequently developed and etched into the substrate. The laws of optics forbid such methods from decreasing the pitch of patterns exposed in conventional resist, although undesirable features of the image can be cut out. While proposals have been made for unconventional resist materials that might overcome the “single-photon response” limit, none has yet appeared. Thus, higher resolution through double-patterning technology requires sequential exposure and development of different resist films and multiple etch steps to transfer the resist patterns into the substrate.

Two of the four double-patterning options interdigitate and stitch together optical exposures to form a final circuit. They differ in the tone of the process, with the most common option employing positive resist, thus making the line CDs independent of overlay, and the other making the space CDs independent of overlay, generally by employing negative resist. (Maaike Op de Beeck of IMEC showed an elegant negative-tone DPT process that used positive resist and RELACS post-processing to print 50nm 1:1 trenches for metal layers.) Both methods employ large pitch patterns with low duty factors, and overlay images to place a narrow feature of the later exposure in the center of the wide feature of an earlier one.

Since overlay error becomes CD nonuiformity in this kind of DPT, overlay precision and metrology are key enablers. Bill Arnold, chief scientist of ASML reviewed the status and challenges in his keynote address to the Metrology, Inspection and Process Control Conference. He reported that IMEC had achieved 32nm line-space patterning with the positive-tone process, using a 0.85NA exposure tool — with a k1 factor of 0.14, well below the 0.25 single-exposure limit. Jan Mulkins and Jos de Klerk, both of ASML, separately reported experiments that achieved 3nm overlay precision on a single-wafer stage of the Twinscan system, just barely enough to proceed toward 10% CDU at 32nm.

The other two double-patterning options avoid the worst overlay problems through self alignment, but require more complex processing. In these “spacer” processes, the first optical-exposure pattern is etched into a sacrificial layer. Another material is then deposited conformally on the sides of this spacer to a thickness that defines the final pattern. In positive tone spacer processes, the first sacrificial layer is removed and the pattern formed by the deposited material is etched into the final hardmask or substrate. At an invitation-only Applied Materials seminar, Xumou Xu presented a method of this type that achieves 32nm resolution using an Applied APF amorphous carbon spacer and hardmask (see image below).

In the negative-tone spacer process, reported by Woo-Yung Jung of Hynix Semiconductor, a second hardmask material is deposited over the first added layer and then partly removed. Selective etching then takes away the first added (spacer) material (a plasma-deposited carbon polymer in Jung’s case), leaving two hardmask features — one patterned by resist and one by deposition between spacers — with half the original pitch. Jung claimed that his process was the least sensitive to errors and suitable for patterning NAND flash memory at 35nm with 0.93NA ArF exposure. In most cases, a final trim exposure would be needed to remove unwanted connections and create the final circuit.

If this all sounds difficult to do, it is. Other challenges include fracturing circuit designs into mask patterns that can be fabricated in two or three exposures, rather than four or five. That will require restricted design rules, according to Hans Stork of TI. Maskmaking procedures will have to be improved, so that related masks are made sequentially on the same machine and with the best relative overlay accuracy, according to Peter Buck of Toppan. The CDs of features created in different ways will comprise different populations that will have to be distinguished in test and metrology, and the processes will need to be tweaked accordingly. As trouble-prone as double-patterning technology sounds, it does appear inevitable for the near future unless EUV or some other new technology emerges in time. — M.D.L.

March 2, 2007 – Israel metrology equipment provider Nova Measuring Instruments Ltd. says it has agreed to a $5 million private placement of nearly 2 million shares by a group of several investors.

Late last year Nova underwent a business unit reorg and executive shuffle, and laid off about 8% of its workforce, mainly in R&D and operations and including management positions. The company foresees the moves saving $2 million in 2007.

The company posted a 3Q net loss of $800K, slightly more than 2Q net loss of $400K but less than its year-ago net loss of $1 million. Quarterly revenue rose 9% sequentially and 40% year-on-year to $12.5 million.

The company has been trying to turn around its financials for several quarters; 4Q net loss was just $0.8 million, flat with 3Q, and compared with a loss of $1.5 million in 4Q05. Revenues rose 13% sequentially and 60% year-on-year to $6.6 million.

The gradual improvement in the business side offsets distractions from the legal end of things. In October Nanometrics filed a new patent infringement lawsuit against Nova over optical critical dimension technology, following similar litigation in the spring regarding its UV reflectometry and optical critical dimension tools. Nova itself filed suit against Nanometrics in early 2005, over its US patent #6,752,689, “Apparatus for optical inspection of wafers during polishing.”

In September, Nova put a number of lithography metrology patents up for auction, relating to use of a lithography tool with integrated metrology. The company “invited” bids from approximately 100 companies, including leading-edge IC manufacturers, semiconductor equipment manufacturers, and metrology firms, and indicated it would be open to selling outright ownership in the technology.

February 28, 2007 – SEMATECH has named Micron Technology Inc. to its International SEMATECH Manufacturing Initiative (ISMI) roster, to participate in programs that focus on reducing per-wafer and per-die manufacturing costs through advances in equipment, process, resources, fab design, and manufacturing methods.

Micron, one of the establishing members of SEMATECH, will only be joining the ISMI program this time around, “in which their goals are better aligned for our business needs,” according to a spokesperson. Projects of interest to Micron’s wafer fab department involve manufacturing efficiency, equipment improvement and productivity, e-manufacturing, and metric benchmarking. Other projects and activities receiving focus will be environmental/safety/health, metrology, and SEMATECH’s 300mm Prime program, which seeks to smooth the eventual future transition to 450mm wafers, the spokesperson indicated.

“ISMI’s program captured Micron’s interest with its progress in improving fab and equipment productivity,” according to Brian Shields, Micron’s VP of worldwide wafer fabrication, in a statement. “We appreciate ISMI’s focus on making technical achievement its top priority, as we work as an industry to take advantage of digital technology.”

While adding Micron, SEMATECH is reportedly losing another member: Freescale Semiconductor, which had carried over membership from former parent Motorola, a founding member of SEMATECH.

A Freescale spokesperson told WaferNEWS that the decision was independent from the company’s move earlier this year to pursue advanced chipmaking R&D (post-45nm) with IBM’s Common Platform Alliance, instead of continuing work with partners in the Crolles2 Alliance, though both changes came about amid “ongoing efforts to reevaluate our alliances and R&D spend in response to changing business priorities.” (Weeks later, Crolles2 partner STMicroelectronics also announced it would seek outside partners for 32nm development work.)

January 15, 2007 – Metrosol Inc., Austin-TX, a developer of optical reflectometry systems, has appointed Kevin Fahey as president and CEO, formerly VP and GM of FEI Co.’s fab market division. He will be charged with achieving “rapid sales expansion, operations efficiency, aggressive product positioning, and creating the highest level of service and support,” according to the company.

The company, a participant in the 2006 SEMICON West Technology Innovation Showcase (TiS), sells a short wavelength optical metrology system that collects optical reflectance data in the vacuum ultraviolet wavelength region down to 120nm, targeting applications ranging from engineered substrates and other advanced materials to gate dielectrics and metal gates, silicides, and capacitors. Its flagship product targets frontend 45nm/32nm semiconductor manufacturing; two other tools address R&D with different wavelength ranges and applications.

“Metrosol found itself on target for exponential growth in the semiconductor fab market,” and its directors and management “felt new leadership could expedite the organization to the next level,” the company said, in a statement.

January 8, 2006 – Months after being rescued by a new credit line following a “going concern” warning, Therma-Wave Inc. has found a new savior — KLA-Tencor Corp., which has agreed to acquire the process control metrology system provider for $75 million in cash.

Robert Castellano, president of market research firm The Information Network, noted that despite having just 1.5% share of the overall metrology inspection market in 2005 and a little less than that in 2006, Therma-Wave does bring some technology to the table for KLA-Tencor. The company had 10% share in the thin-film metrology sector in 2005, an area led by KLA-Tencor with 19%, ahead of Rudolph Technologies’ 15%.

Therma-Wave also has experience in implant metrology, the subject of previous litigation between the two companies. In 1998-1999 they were engaged in a dispute over two patents regarding optical measurement systems that include a calibrating ellipsometer. That litigation was settled in 2001 with an exchange of technology licensing agreements, plus an undisclosed payment and pledge by Therma-Wave to modify its products to avoid using certain technologies.

“KLA-Tencor has a global reach and in-depth customer relationships that will extend our latest metrology technologies to a broader market,” said Boris Lipkin, Therma-Wave’s CEO, in a statement. Rick Wallace, CEO of KLA-Tencor, added that the acquisition expands KLA-Tencor’s portfolio of metrology tools with Therma-Wave’s advanced measurement capabilities.

The deal, which is expected to close during 1Q07 pending regulatory approvals, has been approved by Therma-Wave’s board of directors and has received commitment from 25% of the controlling stock held by company directors, executive officers, and Series B Preferred stockholders.

Therma-Wave was among a list of potential speculative buyout candidates in early 2005, following a bidding war for August Technologies among KLA-Tencor, Rudolph Technologies, and Nanometrics. But part of its potential attraction as a takeover target has been its financial situation.

In June 2006 Therma-Wave was cited the company as a “going concern” by its independent accounting firm, its second such designation within a year, regarding its financial reports for the fiscal year ended in March, due to recurring net losses (losses of $9.2 million, $6.8 million, and $18.1 million in its fiscal years 2006, 2005, and 2004, respectively) and negative cash flows ($16.2 million cash burn in FY06 and accumulated deficit of $317.0 million). Meanwhile, revenues slid 20% from the prior year, with nearly a fourth of those to a single customer, AMD.

In August 2006, Therma-Wave tacked on a year to its $15 million line of credit from SVB Silicon Valley Bank, to finance working capital needs through June 2008.

An understanding of the statistics behind air cleanliness classification can help in designing and maintaining a cleanroom environment

By Jim Babb, Adams Instruments

Statistics are the essence of cleanroom air cleanliness classification, yet the reasons behind this are often not well understood or appreciated. This is not surprising, as normally one is following a standard operational procedure that offers little scope for interpretation. However, an appreciation of why things are done the way they are, and the additional information gleaned from collected particle count data, can be of great benefit in designing and maintaining an effective and efficient cleanroom environment.

Air cleanliness is measured by counting the numbers of particles in given size ranges. In the bio/pharmaceutical industry, the sizes are 0.5 µm and greater, while in the semiconductor industry, a size threshold of 0.1 µm or less is used. In the case of bio/pharmaceutical environments, the choices are determined by regulation while, with semiconductors, the choices are determined by the need to maximize product quality. This article explains in simple terms the statistics used in classification relative to particle counting, and how they are measured. There are additional texts available providing a more detailed explanation.1-3

Basic statistics

When anything is measured, the result is always subject to random fluctuations as well as systematic (cause and effect) changes. For example, a car’s speed on a flat, straight road might be thought of as constant, yet it is actually changing due to variations in air speed, air density, road surface variation and many other things. When we talk about random fluctuations, we mean unknown or even unknowable effects. Although the variations (uncertainties) in measurements are random individually, when many measurements are made, these variations follow well-defined rules.

The mean value is the sum of values divided by the number of values, often expressed as:

Click here to enlarge image

where X is a value and N is the number of values.

The spread of the values is called the standard deviation. This is calculated using the following formula:

Click here to enlarge image

The standard deviation is often given the symbol σ (sigma).

If the variations in a measurement follow the Gaussian distribution (the well known bell-shaped curve), then it is expected that 67 percent of all values lie in the range Mean +/- σ. For example, if the mean is 20 and the standard deviation is 5, then 67 percent of all values lie within 15 and 25. Further, 95 percent of values lie within the range Mean +/- 2σ and 99 percent of all values lie within the range Mean +/ 3σ.

Click here to enlarge image

The word “significant” is often used in the context of: “Is the difference significant?” This question can be rephrased to: “Is there a greater than 5 percent (1 in 20) chance that these two measurements are equivalent?” If the answer to this question is yes, then the values are not significantly different. If the answer is no, then the values are significantly different.

It must be remembered that every mean has a standard deviation. They are inseparable; one is meaningless without the other. A common mistake in the presentation of statistical data is to forget this rule, which often manifests itself as statements such as: “There is one count per cubic foot, therefore there must be 35 counts per cubic meter.” In fact, the truth is that one count per cubic foot has a standard deviation of one count, which means expected counts per cubic meter are 35 with a standard deviation of 35, which means a ‘true’ count of between zero and 105 per cubic meter.

The statistics of counting

When measuring something that is quantified by counting, such as particle counting, the statistics follow a “Poisson” distribution. This predicts that, where discrete items are randomly distributed and a subsample is taken (for example, one cubic foot of air from a room), the standard deviation is the square root of the count. That is, the expectation value is equal to the variance, which is equal to the count.

This relationship is very helpful because it allows us to predict and compare the actual measured particle count’s standard deviation with theoretical standard deviation. If the measured and calculated standard deviations differ significantly, then we can conclude that there are non-random factors affecting the measurement. This can be a standard deviation that is too high, which could imply a problem with the sampling (for example, particles sedimenting), or a low value, which could suggest an instrumentation problem. In statistics there is nothing more suspicious than perfection.

Because we expect the standard deviation to be the square root of the mean, it follows that a count of four is not significantly different from a count of zero. Another observation is that low counts are inherently inaccurate: the higher the count the more precise the measurement. If one measures a count of 25, then the standard deviation is 5 (or 20 percent). If one measures 1,000 counts, then the standard deviation is approximately 3 percent. To put it another way, if the measurement were repeated 100 times, 67 of the results would be expected to lie within 3 percent of 1,000.

The standard error

The standard error is a measure of how accurately a result represents reality. In particle counting, this is the mean of particle counts measured at various locations, which themselves are often means of individual samples.

The accuracy of a result is given by specifying the upper and lower confidence limits. Usually this is a confidence of 95 percent (remember the word “significant”). With cleanroom classification, we are interested in the 95 percent upper confidence limit (UCL).

The standard error (se) is calculated by dividing the standard deviation by the square root of the number of samples:

Click here to enlarge image

The 95 percent upper confidence limit (UCL) can be calculated using the following formula:

Click here to enlarge image

The Tval is calculated using a complicated formula or from tables that depend on the number of values and the level of confidence being tested to. In cleanroom classification, the Tval values are precalculated and used in the prescribed method for calculating the UCL. If the number of values is greater than 9, then the Tval is set to 0, and so the standard error can be ignored.

Choosing a sample volume

The ISO 14644-14 and Federal Standard 209 (cancelled 11/29/01) classifications require a minimum sample volume that is the greater of 0.1 cubic feet or the volume that is expected to contain 20 particles at the class limit. For example, if the class to be tested expects 100 counts per cubic foot (28.3 liters), then the minimum sample volume is 0.2 cubic feet (5.6 liters). It should be noted that the ISO standard has a requirement to sample for a minimum of one minute regardless of flow rate.

British Standard 5295 (BS5295), on the other hand, specifies a sample volume of one cubic foot at a flow rate of one cubic foot per minute and varies the sample volume by specifying the number of samples per location.

The choice of 20 particles per sample volume minimum can be understood from the preceding section on the statistics of counting. Unless the sample volume is large enough, then the uncertainties in the measured values are too large and, it may be impossible to tell the difference between, say, a particle concentration of 100 counts per cubic foot and 10 counts per cubic foot.

EU GMP specifies a count of zero per cubic meter for some classes. From the previous discussion, this would require an infinite sample volume. In a sense, it is never possible to confidently measure a count of zero and know it is zero.

Choosing the number of sample locations

Each standard has its own method for determining the number of locations to sample. The method often requires more sampling locations for cleaner classification levels (ISO does not, FS209 and BS5295 do). This again is to reduce the uncertainties in the measured particle counts by increasing the number of samples.

Ideally, the locations are on a regular grid in order to give good spatial representation of the distribution of particle counts in an area. This ideal arrangement, however, can be limited by the physical layout of an area that prevents measurements at preferred locations. Another problem that can be encountered is the operator’s inclination to avoid, often subconsciously, known problem areas.

To simplify the calculation of the 95 percent UCL, with ISO and FS209 it is best to measure at 10 locations. This then means the standard error can be ignored and the mean value is considered to be the 95 percent UCL.

The number of locations for a general monitoring regimen is determined, as one might expect, by the objectives of the monitoring, which must account for the risks, objectives and benefits of the process, as well as any need for regulatory compliance.

Although there are no regulations or guidelines that specify the number of locations to be monitored, a good starting estimate for the expected number of locations is to take the square root of the floor area in square meters, as is done in the ISO standard.


Jim Babb is the director of optical engineering at Adams Instruments. Over the past 24 years, he has been involved in the development of highly complex, laser electro-optical systems and defining metrology standards for the FDA, defense contractors, and aerospace manufacturers. He can be reached at [email protected].

References:

  1. Hon, Keone. An Introduction to Statistics, http://www.artofproblemsolving.com/LaTeX/Examples/statistics_firstfive.pdf
  2. Grinstead, Charles M., J. Laurie Snell. Introduction to Probability, http://www.dartmouth.edu/~chance/teaching_aids/books_articles/probability_book/amsbook.mac.pdf
  3. Reichmann, W.J. Use and Abuse of Statistics. Pelican, 1964.
  4. “Particle counter,” Wikipedia: http://en.wikipedia.org/wiki/Particle_counter

The five-year review process has begun and some documents are now being revisited

By Robert L. Mielke, Abbott Laboratories, and secretary of ISO Technical Committee 209

Background
In 1993, ISO Technical Committee 209, Cleanrooms and associated controlled environments, was formally established and had its first meeting in Chicago, Illinois. Over the past 13 years there have been 18 meetings, the last of which was held in Beijing, China, in September of this year. In those 13 years a great deal has been accomplished. Eight documents have been published: ISO 14644 Parts 1, 2, 3, 4, 5, 7 and 8, and ISO 14698 Parts 1 and 2 (see Table 1).

Current status

The Technical Committee now has 20 countries that are voting “P” (Participating) members (see Table 2) and 21 countries that are “O” (Observing) members (see Table 3). There are also six “L” (Liaison) members consisting of four ISO Technical Committees, one CEN Technical Committee and the International Confederation of Contamination Control Societies (ICCCS), as shown in Table 4. The Technical Committee has convened nine working groups (WGs) over the years and currently has four active ones. They are WG 1, Air cleanliness; WG 6, Terms and definitions; WG 8, Chemical contamination; and WG 9, Clean surfaces.

The Technical Committee has a new chairman, David Brande, who succeeded Richard Matthews. Matthews had served as chairman for 12 years (1993-2005).

Reports

WG 1, Air cleanliness
ISO 14644-1:1999>-This document, Cleanrooms and associated controlled environments-Part 1: Classification of air cleanliness, is currently going through revision by the WG. The standard was reviewed and voted on in 2004 by the technical committee and was reaffirmed as a standard. However, at the 16th technical committee meeting in 2004 in Cologne, it was resolved to have ISO/TC 209 Working Group 1 review the document for areas within the document that might be changed and report back to the committee. A decision was made by ISO/TC 209 at the 17th technical committee meeting in 2005 in Moscow to revise Part 1. Working on the revision, WG 1 has held three meetings since the Moscow meeting.

The main issues are as follows:

  • The table for air cleanliness classifications in the normative section would become the primary method for determining air cleanliness classes and the formula would be used for intermediate classes, similar to the cancelled FED-STD-209E.
  • Also in the normative section, the working group recommends dropping the single-digit concentration numbers from the air cleanliness classification table and providing further guidance on very low (i.e., two-digit) particle concentrations. The current table suggests unreliable limits that demand very large sample volumes.
  • The graph in Informative Annex A may be improved to make it more useful.
  • The WG has discussed the method for determining the number of testing locations as discussed in Informative Annex B and at this time is inclined to leave the method unaltered unless changes in the statistical analyses would dictate otherwise.
  • A problem has been identified with the current statistical approach regarding collection and evaluation of particle count data in Informative Annexes B and C. The statistics in the standard currently assume an even concentration of particles over the area being tested (e.g., cleanroom) and this may or may not be the case. The WG is evaluating a number of methods for showing statistical relevance to the number of locations required and the minimum number of samples at each location.
  • The WG is working on improving Informative Annex F to make it easer to use. The sequential sampling technique will be retained for situations where there are very low limits and very long sample times. However, it is felt that the annex needs to be made clearer.

Currently, there is only an internal working draft of the document. When a draft is ready to be reviewed by the technical committee, a New Work Item Proposal will be generated.

ISO 14644-2:2000-This document, Cleanrooms and associated controlled environments-Part 2: Specifications for testing and monitoring to prove continued compliance with ISO 14644-1, is being revised by the WG. The standard was reviewed and voted upon in 2005 by the technical committee. The vote determined that ISO/TC 209 Working Group 1 would review the standard. WG 1 has met three times to work on the revision.

The main issues are as follows:

  • The normative section in Part 2 is felt to be confusing regarding testing and monitoring. The WG wants to improve and clarify the explanation of the link between monitoring and testing.
  • A discussion was held by the WG to determine whether the document should differentiate between testing for qualification and testing for requalification of a cleanroom.
  • The WG plans to clarify the various testing frequencies.
  • The WG plans to eliminate the current Annex B on risk assessment. It is anticipated that the subject of risk assessment will be dealt with in the normative section.
  • A new Annex B may be written to give additional guidance on testing and monitoring plans.

Table 1: ISO Technical Committee 209 published documents
Click here to enlarge image

The convener of the WG reiterated that the group needs a consistent effort from the experts in order to move forward. The WG’s next meeting will be in March 2007 and the target date for publication is January 2009. However, one of the intermediate milestones has already slipped.

Working Group 6, Terms and definitions

ISO/FDIS 14644-6-This document, Cleanrooms and associated controlled environments-Part 6: Terms and definitions, was submitted by the secretariat on August 31, 2006, to the ISO central secretariat for FDIS vote. WG 6 sees this standard as a “living document” due to the continuing systematic review and revision of the ISO/TC 209 documents as well as the new terms and definitions being created by WG 9.


Table 2: “P”(Participating) members
Click here to enlarge image

During the DIS vote process, there were several terms that were questioned by some of the P member countries. The ISO/TC 209 secretariat worked with the ISO central secretariat editor to harmonize duplicate definitions.

There was some discussion as to the value of the document due to possible future revisions; WG 6 recommended that ISO 14644-6 be approved in order to establish a starting point from which the technical committee could move forward. The role of WG 6 will continue and the group plans to be very involved in checking each revision and new document to ensure consistency in the terms and definitions.


Table 3: “O” (Observer) members
Click here to enlarge image

The technical committee resolved that the role of WG 6 should continue after the vocabulary standard is published. The vocabulary standard could be revised before the systematic review period to reflect any revisions or new terms.

Working Group 8, Clean surfaces

ISO/FDIS 14644-8-This document, Cleanrooms and associated controlled environments-Part 8: Classification of airborne molecular contamination, was published as a standard on August 15, 2006.

A discussion ensued regarding the many overlapping areas between the work of WG 8 and WG 9, making it difficult for the groups to clarify their missions. It was suggested that WG 8 focus on surface chemical contamination and WG 9 focus on surface particulate contamination. To aid in defining the mission of WG 8, it was resolved that it be renamed “Chemical contamination” to enable the technical committee to allow WG 8 to expand the mission of the group to chemical surface contamination.

Working Group 9, Clean surfaces

ISO/WD 14644-9-WG 9 is preparing its Committee Draft, which is nearly complete. The technical committee resolved that when the secretariat receives the draft, it should initiate a New Work Item Proposal (NWIP).

The technical committee requested that WG 9 produce an outline of its thoughts on what should be addressed in a standard (or part of a standard) covering the subject of cleaning of surfaces, detailed such that the technical committee would be able to determine the direction in which it would want the WG to proceed.


Table 4: “L” (Liaison) members
Click here to enlarge image

WG 9 requested that member bodies nominate experts for the development of an additional or separate standard on cleaning, as the WG feels it is lacking sufficient numbers of cleaning experts. There was also a concern over conflicts with current documents, or documents that are in preparation, regarding process cleaning. In previous meetings the technical committee had elected to not become involved in process methods. It was suggested that the WG focus on what should be accomplished in cleaning-what should or should not be done.

Additional discussion on ISO/TC 209 documents

A request was brought forward by the U.K. delegation to begin the review process on ISO 14698-1:2003, Cleanrooms and associated controlled environments-Biocontamination control-Part 1: General principles and ISO 14698-2:2003, Cleanrooms and associated controlled environments-Biocontamination control-Part 2: Evaluation and interpretation of biocontamination data, prior to the normal five-year review.

Some members of WG 2 have suggested that they begin working on the two ISO 14698 documents now, rather than wait until the documents are due for systematic review in 2008. After some discussion, the technical committee decided that the ISO/TC 209 chairman should establish an ad hoc group comprising primarily microbiologists to review the ISO 14698 Part 1 and ISO 14698 Part 2 documents to determine which issues need to be addressed and to report its findings at the next ISO/TC 209 technical committee meeting.

During the WG 9 discussion, it was suggested that there be a new focus on surface biocontamination, as the standards are missing classifications for airborne and surface microbial contamination. It was felt that this topic was better suited for review by the working group that would be working on biocontamination rather than by WG 9. The technical committee had previously mandated that the group not address the area of microbiology, but if a system could be developed that would be beneficial, then the focus could include this area. The technical committee resolved to establish an ad hoc group, comprising primarily microbiologists, to determine the issues that should be addressed. The group will report its findings at the next technical committee meeting.

Epilogue

As can be seen, although the majority of the documents being produced by ISO Technical Committee 209 have been completed, the five-year review process has begun and some of the documents are being revisited. The committee has a number of issues that it is addressing and needs input from the countries’ Technical Advisory Groups (TAG). The TAGs will need input from the user community within their countries. If you have questions about, or want to offer input into, any of the ISO 14644 and ISO 14698 documents, contact your country’s TAG. In the U.S., the TAG is administered by the Institute of Environmental Sciences and Technology (IEST). Additional information may be found at www.iest.org

Postscript

The five-year review vote on ISO 14644-4:2001-Cleanrooms and associated controlled environments-Part 4: Design, construction and start-up, was tallied after the Beijing Technical Committee meeting. The consensus was to renew the document for another five-year period.

Robert Mielke is a principal metrology engineer at Abbott Laboratories (North Chicago, IL). He has been in Abbott’s Corporate Metrology Group for fourteen years. He holds a BS from Miami University of Ohio and is a Fellow of the Institute of Environmental Sciences and Technology (IEST). Mielke served the IEST as Standards and Practices Chairman for eight years, and as Contamination Control Technical Vice President from 1995 to 1997 and 1999 to 2001 respectively. He is the secretary of ISO/TC 209 and a U.S. delegate to ISO/TC 209 Working Group 1, which wrote ISO 14644-1 and ISO 14644-2. Mielke is the chair of IEST Working Group 006. He has presented more than 30 papers and given many tutorials on topics related to contamination control.


About IEST

Founded in 1953, IEST is an international technical society of engineers, scientists, and educators that serves its members and the industries they represent (simulating, testing, controlling, and teaching the environments of earth and space) through education and the development of recommended practices and standards. IEST is an ANSI-accredited standards-developing organization; Secretariat of ISO/TC 209, Cleanrooms and associated controlled environments; Administrator of the ANSI-accredited U.S. TAG to ISO/TC 209; and a founding member of the ANSI-accredited U.S. TAG to ISO/TC 229 Nanotechnologies.

To purchase or obtain more information about these standards and other related recommended practices and working groups, contact IEST at (847) 255-1561, e-mail them at [email protected], or go to the IEST Web site at www.iest.org.