Category Archives: Metrology

Technologies, Business Models, Applications and Materials Management Strategies in Transition — SEMI reports.

August 22, 2012 — The $100B+ electronics materials industry is undergoing rapid metamorphosis as technologies, markets, business models, and materials management practices are all being restructured to meet the needs of a profit-hungry, environmentally-conscious and innovation-dependent world. The $50 billion semiconductor materials industry alone, for example, needs investment in new lithography resists, novel device architectures, and advanced interconnect and packaging while trying to maintain margins in a consolidating industry where manufacturers know how to leverage buying power. At the same time, advanced electronics materials markets in displays, LED, PV and power semiconductors — collectively larger than traditional semiconductors — are providing new, potentially higher-profit opportunities for suppliers. Both manufacturers and suppliers are responding to these dynamics through joint development agreements and other collaboration models, increasingly important resource recovery strategies, and capitalizing on the synergies between advanced materials requirements among different industries.

These and other issues will be the focus of 2012 Strategic Materials Conference (SMC) to be held on October 23-24 at SEMI headquarters in San Jose, CA. For more information on the conference, visit www.semi.org/en/node/41386. SMC is the only conference dedicated to exploring the synergies, trends and business opportunities in advanced electronic materials. Many of the developments, trends and collaboration in one industry are applicable to other industries, creating potential valuable synergies across the materials spectrum. With presentations by leading market analysts, academic researchers, industry consortiums, leading manufacturers, and top suppliers, SMC will serve as a valuable forecasting tool and accelerator for advanced materials usage in the electronics industry.

To provide a broad reach, the 2-day SMC will feature four 2-hour tracks in semiconductors, carbon-based materials for energy storage and ICs, LED/Power devices, and OLED/printed electronics. Each of these areas are characterized by significant opportunities and challenges. In LEDs and power semiconductors, for example, dramatic increases in solid state lighting and emerging markets for electric vehicles, Smart Grid, solar inverters and other areas have a driven a race in Si, GaN on Si, GaN on GaN, SiC, and sapphire-based technologies. In organic and printed electronics, OLED displays are quickly emerging as a replacement for LCDs even in large format displays, potentially creating opportunities for leveraged technologies in OLED lighting, thin film batteries, printed logic and memory.

Other portions of the conference will be devoted to critical trends and issues in materials usage and materials development, including rare earth supply dynamics, materials recovery, collaboration models and joint development strategies, investment opportunities, and more. Leading industry analysts will also provide market forecast and insights into application trends. Significant networking opportunities including a dinner reception will be included in the conference.

Figure source: SEMI Materials Market Data Subscription May 2012

One of the collaboration strategies explored in the conference will discuss how equipment OEMs, materials suppliers and major manufacturers can work more effectively together. Today, frequent R&D efforts can be distributed at research consortia, manufacturer process development labs, and at materials suppliers, each in conjunction with key equipment suppliers who have their own development programs. Speakers from Intel, Micron, Air Liquid and Applied Materials will discuss common development strategies and ways they can be improved.

Materials refining, recycling and recovery is also becoming a critical issue for many industries due to regulatory compliance and as a cost reduction imperative, with implications for fab design, intellectual property protection, onsite materials infrastructure and other areas. Experts from Envirodigm, Sachem, Intel and Air Products and Chemicals will discuss this “paradigm shift” in manufacturing and how it provides both opportunities and challenges.

SMC has provided valuable information and networking opportunities to materials and electronics industry professionals since 1995. SMC 2012 builds on that legacy, expanding the reach and focus of the conference to examine advanced electronics materials for the semiconductor and adjacent industries. SMC is organized by the Chemical and Gas Manufacturers Group (CGMG) is a SEMI Special Interest Group comprised of leading manufacturers, producers, packagers and distributors of chemicals and gases used in the microelectronics industry. For more information on the conference, visit www.semi.org/en/node/41386.

August 22, 2012 — ASMC, the leading international technical conference for exploring solutions to improve collective microelectronics manufacturing expertise, has issued a call for papers for next year’s event, being held May 14-16 in Saratoga Springs, NY. The abstract deadline is October 24, 2012. ASMC 2013 is now accepting abstracts in 16 topic areas:

  • Packaging and through-silicon via (3D/TSV)
  • Advanced equipment processes and materials (AEPM)
  • Advanced metrology (AM)
  • Advanced patterning / Design for manufacturability (AP/DFM)
  • Advanced process control (APC)
  • Contamination free manufacturing (CFM)
  • Defect inspection and reduction (DI)
  • Data management and data mining tools (DM)
  • Equipment reliability and productivity enhancements (ER)
  • Enabling technologies and innovative devices (ET/ ID)
  • Factory automation (FA)
  • Green factory (GF)
  • Industrial engineering (IE)
  • Lean manufacturing (LM)
  • Yield enhancement/learning (YE)
  • Yield methodologies (YM)

All papers will be considered for the Entegris Best Paper Award, and student-authored papers are eligible for consideration as the GlobalFoundries Outstanding Student Paper. Select papers also will be featured in the IEEE Transactions on Semiconductor Manufacturing.

Learn more about being a speaker at ASMC here: http://www.semi.org/en/node/38316.

August 21, 2012 – FEI Co. and Hitachi High-Technologies Corp. (HHT) have agreed to settle an ongoing dispute over focused ion beam (FIB) technology patents. Under terms of the deal, FEI will make a one-time $15M payment, while HHT will dismiss all pending claims and grant FEI future license rights to the FIB patents at issue. Both parties also will cross-license "various elements of intellectual property" under confidential terms.

"We are pleased to have come to agreement with Hitachi on these patent issues and bring to a close these various long-standing disputes," stated Brad Thies, SVP and general counsel of FEI. The licensing of the patents from Hitachi allows FEI to continue to enrich and improve the capability of our products for our customers."

FEI already had recorded $5.4M in charges related to the patent dispute; the remaining $9.6M will be recorded as prepaid royalties and amortized over approximately seven years.

The dispute stems back to 2009 with a legal action filed by Hitachi in Japan regarding its "microsampling" technology for analyzing semiconductor devices. The dispute continued through 2010 and 2011 with HHT filing multiple damage requests and injunctions. An injunction on three specific FEI system configurations imported into Japan was upheld in Feb. 2011 (other HHT injunction requests were denied), after which FEI filed its own request to halt HHT’s "misrepresent[ation of] the facts in the case" regarding the multiple filings, and pointed out that no FEI products had been found to infringe the patents at issue.

Also read: FIB technology keeps pace with process and packaging developments

August 20, 2012 – Marketwire — Applied DNA Sciences Inc. (OTCBB:APDN), a provider of DNA-based anti-counterfeiting and security solutions, was named by the Department of Defense (DoD) Defense Logistics Agency (DLA) as the provider of authentication services for microcircuits supplied by defense contractors. On August 3, 2012, DLA posted notice on its DLA Internet Bid Board System (DIBBS) that it will require the use of SigNature DNA marking on microcircuits.

The agency made a statement that it is “implementing new requirements for deoxyribonucleic acid (DNA) authentication marking on items falling within Federal Supply Class (FSC) 5962, Electronic Microcircuits, which have been determined to be at high risk for counterfeiting. A new clause at Defense Logistics Acquisition Directive (DLAD) 52.211-9074, Deoxyribonucleic Acid (DNA) Marking on High Risk Items, will be included in new solicitations and contracts for FSC 5962 items when the item description states that the item requires DNA marking. The clause requires contractors to provide items that have been marked with botanically-generated DNA produced by Applied DNA Sciences or its authorized licensees, if any.” 

The number of companies directly affected by the DLA directive is in the hundreds, and includes many of the largest microcircuit manufacturers in the world. APDN reported immediate inquiries from numerous impacted companies.

SigNature DNA uses uncopyable plant-based DNA to mark items, which can then be authenticated throughout the supply chain to assure originality. In effect, the DNA marks provide a traveling, high-tech proof of authenticity. 

Applied DNA Sciences’ SigNature DNA marking and authentication have been tested at full commercial scale in an eighteen-month project supported by DLA and managed by the not-for-profit consultancy LMI, with uniformly successful results. As part of the project, DLA tasked an independent laboratory to defeat the technology. SigNature DNA was not defeated.

"Finding counterfeits in supply chains is a daunting challenge. DLA is taking a leadership role by mandating a positive way to assure authenticity using SigNature DNA. Warfighter support is DLA’s top priority." Janice Meraglia, VP of government and military programs at APDN praised the Agency’s efforts to eliminate counterfeit microelectronics from military supply chains. 

APDN will sell SigNature DNA marks to chip manufacturers, while for distributors the company will sell a source-verification marking program, to establish traceability from the moment of marking. The company also plans to provide DNA marking services directly to those manufacturers who want immediate implementation before establishing marking capability at their own facilities. Once SigNature DNA-marked, the microchips may be authenticated as originals at any stage along the military supply chain, if a participating company has purchased an authentication program from APDN. 

The DLA mandate for SigNature DNA will be implemented in a staged fashion, to ensure a smooth transition for the industry providers of microcircuits falling into FSC 5962, as noted by DLA. In the first stage, already accomplished and consistent with the mandate, SigNature DNA is on the microchips produced in the DLA’s Generalized Emulation of Microcircuits (GEM) program.

The electronics industry is facing a new federal law that would strictly enforce new anti-counterfeiting measures by defense contractors. The legal wording of the anti-counterfeiting initiative is found in Section 818 of the National Defense Authorization Act for Fiscal Year 2012 (FY’12 NDAA). While the DLA mandate is not directly connected to the new measures called for by Section 818, it applies in an environment where defense contractors are being strongly urged in various ways to adopt new processes and technologies to combat fakes sneaking into military equipment.

The DoD Office of the Director, Cost Assessment and Program Evaluation last year projected the value of all semiconductors sold to DoD in fiscal year 2011 to be $3.1 billion. The figure for fiscal year 2012 is projected to be $2.84 billion. These figures include both microchips sold stand-alone ("direct sales") for spare parts or prototyping, and also microchips that are embedded in more complex electronic parts sold to the military ("indirect sales"). Indirect sales may range from amplifiers, to computers to aircraft and missile guidance systems. 

APDN is a provider of botanical-DNA based security and authentication solutions that can help protect products, brands and intellectual property of companies, governments and consumers from theft, counterfeiting, fraud and diversion. SigNature DNA and smartDNA, our principal anti-counterfeiting and product authentication solutions that essentially cannot be copied, provide a forensic chain of evidence and can be used to prosecute perpetrators. Web site: http://www.adnas.com

Visit the Semiconductors Channel of Solid State Technology!

August 17, 2012 — North America-based manufacturers of semiconductor equipment posted $1.28 billion in orders and $1.48 billion in billings worldwide in July 2012, ending with a book-to-bill ratio of 0.87, says SEMI.

The three-month average of worldwide bookings in July 2012 of $1.28 billion is 10.2% lower than the final June 2012 level of $1.42 billion, and 1.5% lower than the July 2011 order level of $1.30 billion.

The three-month average of worldwide billings in July 2012, $1.48 billion, is 3.9% lower than the final June 2012 level of $1.54 billion, and 2.9% less than the July 2011 billings level of $1.52 billion.

"Bookings and billings for North American semiconductor equipment in July are close to values reported exactly one year ago," said Denny McGuirk, president and CEO of SEMI. "Seasonal slowing of investment activity in the current cycle is reflected in reduced orders as the industry enters the second half of the year."

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. A book-to-bill of 0.87 means that $87 worth of orders were received for every $100 of product billed for the month.

 

Billings
(3-mo. avg, $M)

Bookings
(3-mo. Avg, $M)

Book-to-
Bill

Feb 2012

1,322.8

1,336.9

1.01

March 2012

1,287.6

1,445.7

1.12

April 2012  

1,458.7

1,602.8

1.10

May 2012

1,539.3

1,613.7

1.05

June 2012 (final)

1,535.7

1,424.3

0.93

July 2012 (prelim)

1,476.5

1,278.7

0.87

The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS). SEMI is a global industry association serving the nano- and micro-electronic manufacturing supply chains. For more information, visit www.semi.org.

Visit the Semiconductors Channel of Solid State Technology!

August 14, 2012 – BUSINESS WIRE — Bruker released the PeakForce Kelvin Probe Force Microscopy (KPFM) mode for its atomic force microscopes (AFMs). PeakForce KPFM improves quantitative surface potential data for semiconductor metrology and materials research.

The PeakForce KPFM accessory, an optional addition available for the Dimension Icon and MultiMode 8 AFMs, includes the complete set of KPFM detection mechanisms (amplitude and frequency modulation), in conjunction with TappingMode and Peak Force Tapping, as well as the ability to perform KPFM measurements over an extended voltage range.

The mode uses frequency-modulation detection to provide the highest spatial resolution Kelvin probe data. It builds on Bruker’s PeakForce Tapping technology to provide directly correlated quantitative nanomechanical data, which improves the sensitivity of the frequency-modulation measurement and eliminates artifacts. PeakForce KPFM mode combines FM-KPFM detection with PeakForce Tapping technology. PeakForce KPFM provides a completely automated parameter setup with ScanAsyst.

“Our research and industrial customers have increasing needs for quantitative nanoscale property measurements,” said Mark R. Munch, Ph.D., president of Bruker Nano Surfaces Division. “We are committed to move AFM beyond just imaging contrast to quantitative electrical and mechanical property maps,” added David V. Rossi, EVP and GM, Bruker’s AFM Business Unit.

Bruker Corporation (NASDAQ: BRKR) provides high-performance scientific instruments and solutions for molecular and materials research, as well as for industrial and applied analysis. For more information about Bruker Corporation, please visit www.bruker.com.

Visit the Semiconductors Channel of Solid State Technology!

Agilent


August 7, 2012

August 7, 2012 – BUSINESS WIRE — Agilent Technologies Inc. (NYSE: A) debuted its compliance test application, Agilent N6462A DDR4, for systems using double-data-rate 4 (DDR4) memory.

The test application helps memory design engineers accelerate turn-on and debug of DDR4 systems by automating the execution of physical layer tests, including the new data jitter measurements on Agilent Infiniium 9000, 90000A, 90000 X- and 90000 Q-Series oscilloscopes.

Also read: Performance enhancements for multi-die DRAM packages

The Agilent N6462A DDR4 compliance test application is designed for engineers who work on high-end computing applications. The application provides automated clock, electrical and timing tests. It automatically configures the oscilloscope for each test and generates an HTML report at the end of the test. The report compares the results with the specified test limit and indicates how closely the device passes or fails each test.

Engineers can easily debug signal integrity issues using the N6462A software in conjunction with the new InfiniiScan multichannel and multizone triggering features to successfully separate, read and write data. This unique InfiniiScan feature is available only with Agilent

August 6, 2012 – PRNewswire — A “leading semiconductor technology innovator” ordered Qcept Technologies Inc.’s ChemetriQ 5000 non-visual defect (NVD) inspection system for unit process development and process integration activities for advanced nodes, including 2Xnm and 1Xnm logic nodes for both front-end-of-line and back-end-of-line processes.

Applying the ChemetriQ 5000’s metrology characteristics for unit process development and process integration enables new looks at the surface characteristics of the wafer after a single process step, as well as how the surface characteristics evolve through an integrated process flow. NVD inspection enables leading-edge fabs to identify yield-loss-inducing issues that do not match any physical defect data. Also read: Impact of charge during gate oxide patterning on yield by Jungtae Park, Samsung Electronics Co.; Sungjin Cho and Jeff Hawthorne, Qcept Technologies Inc.

Qcept’s ChemetriQ platform is being adopted in critical processes for inline, non-contact, full-wafer detection of such NVDs as sub-monolayer organic and metallic residues, process-induced charging, and other undesired surface non-uniformities that cannot be detected by conventional optical inspection equipment.

"The ability of the ChemetriQ 5000 to inspect any wafer at any layer at any time without requiring a change in recipe makes it uniquely suited for the type of advanced process development and integration work that this customer is doing," stated Robert Newcomb, executive vice president of Qcept Technologies. The customer, headquartered in North America, was not named in the release.

Qcept Technologies delivers wafer inspection solutions for non-visual defect (NVD) detection in advanced semiconductor manufacturing. More information can be found at www.qceptech.com.

Visit the Semiconductors Channel of Solid State Technology!

August 6, 2012 — Zeta Instruments Inc. will install multiple optical profilers for micron-scale surface analysis at sapphire substrate maker Rubicon Technology Inc. (Nasdaq:RBCN). Rubicon is using the Zeta 300 series optical profilers for metrology and inspection on its sapphire substrates and wafer production aimed at the high-brightness light-emitting diodes (HB-LED) market.

Zeta’s 300 series high-precision metrology systems are designed to address the stringent specifications of the patterned sapphire substrate (PSS) market, enabling higher yields and lower wafer scrap at LED makers. One system performs detailed measurement of PSS structure dimensions and wafer defect inspection. It offered the best combination of speed and accuracy for Rubicon’s production-environment metrology needs, said Raja M. Parvez, president and CEO of Rubicon Technology.

Also read: Technology and cost considerations for high-volume HBLED lithography

The Zeta-300 series leverages Zeta’s Z-Dot technology to deliver high repeatability and accuracy for the measurement of LED-patterned/etched substrates, photo-resist and stacked structures on transparent surfaces. Coupled with application-specific software and a companion automated wafer handler, the Zeta-380 provides imaging and measurement capabilities superior to those of laser confocal microscopes.  The Zeta-380 measures and detects defects falling outside the industry certification levels that may not be detected by competing offerings.

Rubicon Technology, Inc. is an advanced electronic materials provider that is engaged in developing, manufacturing and selling monocrystalline sapphire and other crystalline products for light-emitting diodes (LEDs), radio frequency integrated circuits (RFICs), blue laser diodes, optoelectronics and other optical applications.

Zeta Instruments provides optical profiler systems that enable manufacturers of microfluidics/biotechnology, high-brightness LEDs, solar cells, and magnetic storage media to improve yields and process control. To learn more about Zeta Instruments, please visit www.zeta-inst.com.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

In the third installment in a series called Process Watch, the authors discuss some of the challenges of 450mm wafers. Authored by experts at KLA-Tencor, Process Watch articles focus on novel process control solutions.

August 2, 2012 — Chip manufacturers need wafers that are both bigger and better: bigger to help achieve cost targets through gains in manufacturing efficiency, and better to help reach device performance targets through the time-honored path of the pattern shrink. Our industry leaders have announced plans for pilot lines producing devices with sub-20nm linewidths on 450mm wafers, beginning in 2014 or 2015.

In the meantime, wafer manufacturers need to figure out how to make these giant wafers. The increased time required to grow the huge silicon ingot and then to cool it down under conditions optimized for crystal quality raises the risk of defects in the silicon crystal significantly.1 Polishing the surface uniformly and without microscratches requires new equipment and consumables. New cleaning equipment and processes must be developed. Also, 450mm wafers are proportionally thinner than 300mm wafers — which means they are more likely to deform during processing or handling. Such deformation can induce slip lines — crystal lattice defects similar to geological slip lines after an earthquake — around the edge of the large wafer. Crystal-originated pits (COPs), particles, slip lines, microscratches and cleaning residues all can interfere with one or more of the tightly-controlled processes that comprise the early steps of building a semiconductor device.

Printing smaller patterns necessitates tighter specs on many aspects of the wafer — regardless of wafer size. Because 450mm wafers will be used for sub-20nm lithography, their flatness and surface roughness must be very well controlled. Gradual changes in the shape of the wafer surface can be corrected by the scanner during patterning, but the wafer must be reasonably planar across the reticle field. More abrupt changes in the shape of the wafer surface may not be correctable; this is termed higher-order shape. Uncorrectable higher-order shape can displace the pattern, resulting in misalignment (overlay error) between layers — or it can cause defocus errors that affect the critical dimension (CD) of the printed structures. Higher-order shape can also interfere with film uniformity during chemical-mechanical polish (CMP) processes. Any of these errors can result in electrical problems affecting the device’s reliability, performance or yield.

450mm wafers have a higher number of edge die — notoriously the lowest yielding die on the wafer. The shape of the edge (“Edge Roll-Off” or ERO) can affect CD during patterning of edge die. Defectivity at and near the edge of 450mm wafers is typically higher, and will need to be very carefully monitored.

In essence, substrate manufacturers need to make much larger wafers with surfaces even more perfect than they are now: truly bigger and better wafers.  The impact of the surface quality, defectivity, flatness and ERO of 450mm wafers is considerable: With more than twice the number of die as a 300mm wafer, every 450mm wafer is extremely valuable. And just to add an extra challenge, some industry pioneers have announced that they will manufacture devices on 450mm epi wafers — adding the complexity of an epitaxial silicon layer, with its slightly increased surface roughness, stress-induced warp and unique epi defects. There is also interest in validation of 450mm silicon-on-insulator (SOI) technology.

Bare-wafer metrology and defect inspection play key and early parts in enabling wafer, equipment and chip manufacturers to develop and control their sub-20nm processes on 450mm wafers. These tools need the sensitivity to meet sub-20nm node requirements, and the ability to handle 450mm wafers with reliability and speed. Sub-20nm inspection sensitivity is enabled by deep-ultraviolet (DUV) technology and high-resolution haze mapping, technology that was pioneered recently on 300mm wafers by the latest-generation surface inspection systems. The images below show examples of surface defects, polishing marks and cleaning residues revealed on 450mm wafers by the latest inspection technology. These images are visually interesting, but indicative of the early stages of the manufacturing process; images of wafers meeting chip manufacturing specs would look nearly uniform. High resolution surfaces images such as these are a quick and intuitive tool for identifying the source of the defect, so that the issue can be remedied immediately, before additional time and materials are consumed.

 

Rebecca Howland, Ph.D., is a senior director in the corporate group and Amir Azordegan, Ph.D., is a senior director in the Surfscan/ADE division at KLA-Tencor.

1. See for example, “Technical challenges in the development of next generation wafers.”.

Check out other Process Watch articles: “The Dangerous Disappearing Defect,” “Skewing the Defect Pareto,” “Bigger and Better Wafers,” “Taming the Overlay Beast,” “A Clean, Well-Lighted Reticle,” “Breaking Parametric Correlation,” “Cycle Time’s Paradoxical Relationship to Yield,” and “The Gleam of Well-Polished Sapphire.”

Authored by experts at KLA-Tencor, Process Watch articles focus on novel process control solutions for chip manufacturing at the leading edge.