Category Archives: Metrology

July 6, 2012 — We at Solid State Technology have compiled the best conference reports so far this year, in the lead up to SEMICON West 2012, next week in San Francisco. Be sure to bookmark our SEMICON West 2012 Channel for all the info from that show.

Focus on lithography

@ EUVL workshop: Focus on source power, timing

Dr. Vivek Bakshi, president of EUV Litho Inc., reports on the 2012 EUVL Workshop (June 4-8 in Maui, HI), where attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into HVM.

@ SPIE: The spring of EUVL

Dr. Vivek Bakshi, president of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference. He says that this year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15.

@ SPIE: Intel’s, TSMC’s tool roadmap takeaways

After attending SPIE Advanced Lithography, Barclays Capital came away with a lower lithography tool shipments forecast, more hope for EUV lithography, and expectations of a litho buying spree at Intel.

@ SPIE: eBeam Initiative roadmap

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

 

Focus on yields/productivity

@ ISMI Manufacturing Week: Productivity challenges identified

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

@ The ConFab: Legacy semiconductor fab issues

Bill Ross of ISMI and Joanne Itow of Semico report on the ConFab 2012 Executive Roundtable. Older production facilities face equipment obsolescence; skills obsolescence; scarce availability of parts, software, and support; and equipment capability extension and tool re-use.

@ Lightfair: MOCVD capex disobeys fab utilization rules

Barclays Capital analysts attended Lightfair International and gleaned several trends in LEDs and OLEDs for lighting, including an interesting phenomenon around MOCVD utilization rates and new orders.

@ ISS 2012: Profitability threatened

Industry leaders at the 35th annual SEMI Industry Strategy Symposium (ISS) described a perfect storm of cost, complexity and uncertainty as the industry struggles with process engineering complexity at sub-28nm nodes, hazy EUV installation schedules, 3D-IC challenges, and planning for a 450mm wafer transition, reports SEMI.

 

Focus on packaging

@ IMAPS Device Packaging: Vias and more vias

IMAPS Device Packaging’s papers were recently released, and blogger Dr. Phil Garrou shares highlights from SSEC, Asahi Glass, Hitachi Chemical, and others. He also takes a look at Fujitsu’s low temp Cu-Cu bonding technology.

@ The ConFab: A 2.5D/3D interconnect supply chain or ecosystem?

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of front-end fab at the foundry and back-end fab at the packaging and test house is falling apart. Amkor, GLOBALFOUNDRIES, ASE, and Xilinx’s presenters offer ideas.

@ IITC: From TSV to back-end memory work

The 15th IITC took place in San Jose, CA. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back-end memory, blogger Michael Fury reports.

@ ECTC: 3D integration and TSVs

A main focus of this year’s Electronic Components and Technology Conference (ECTC), held in San Diego, was 3D integration and TSVs, blogs Pete Singer, editor-in-chief.

 

Focus on emerging technologies

@ MEMS Executive Congress Europe: MEMS everywhere

Karen Lightman, the Managing Director of the MEMS Industry Group, blogs from the MEMS Executive Congress Europe in Zurich, Switzerland.

@ MRS Spring: Organic electronics

Fury reports from the MRS Spring 2012 meeting in San Francisco. Highlights: electronic skin, energy storage with nanowires, printable inks, gas sensing, inkjet printing, semiconductor polymers for organic devices, CNTs, OFETs, touch screen fabrics, and the coffee breaks.

@ SensorsCon: MEMS, networks, and camera pills

SensorsCon 2012 was held March 21 at the Santa Clara TechMart Center, in conjunction with the annual meeting of the ISQED. This is the first such meeting focusing on sensor technology, with about 60 attendees. As a design conference, the focus was more on system design and architecture, reports Fury.

@ Lightfair China: Low LED prices, subsidy’s role, and MOCVD update

Guangzhou (China) Lightfair Conference is the biggest lighting fair in Asia. Citi analyst Timothy Arcuri notes trends in LED manufacturing and pricing ahead of China’s subsidy program going into effect.

July 4, 2012 — The Semiconductor Industry Association (SIA) presented its 2012 University Researcher Awards to Stanford University professors Krishna Saraswat and Bruce Wooley as well as its 2012 Congressional Leadership Awards to Sen. Carl Levin (D-MI), Sen. John McCain (R-AZ), Rep. Kevin Brady (R-PA) and Rep. Wally Herger (R-CA).

“University research is critical to sustaining the pipeline of discoveries that fuel our industry and the economy,” said Brian Toohey, president and CEO, SIA.

Professor Saraswat’s research interests include new and innovative materials, structures, and process technology of silicon. He pioneered the technologies for aluminum/titanium layered interconnects, CVD of tungsten silicide MOS gates, CVD tungsten MOS gates and tunable workfunction SiGe MOS gates. Dr. Saraswat has graduated 70 doctoral students and has authored/co-authored over 620 technical papers, six of which have received Best Paper Award from the Institute of Electrical and Electronics Engineers (IEEE).

Professor Wooley is a distinguished researcher in the field of IC design, covering low-power mixed-signal circuit design, oversampling A/D and D/A conversion, circuit design techniques for video and image data acquisition, high-speed embedded memory, high-performance packaging and testing, noise in mixed-signal integrated circuits, and circuits for wireless and wireline communications. Dr. Wooley is a Fellow of the IEEE and a past president of the IEEE Solid-State Circuits Society. He received the IEEE Journal of Solid-State Circuits Best Paper Award in 2002, and the IEEE Solid-State Circuits Technical Field Award in 2005. 

Also read: SEMI lauds Congressman Dave Camp (R-MI) for microelectronics/photovoltaics support

The 2012 Congressional Leadership Awards recognize strong support for policies that are vital to maintaining U.S. leadership in semiconductor manufacturing and design. Rep. Herger, who has announced he will retire at the end of the year, was recognized with SIA’s Lifetime Congressional Leadership Award for his cumulative accomplishments during his 25-year career in Congress.  

“Sens. Levin and McCain and Reps. Brady and Herger have been vigorous advocates for effective, bipartisan policies that have helped strengthen and expand the US semiconductor industry. Thanks in part to the effective leadership of Sens. Levin and McCain on combating counterfeit semiconductors and Reps. Brady and Herger’s support for research and development, our industry is creating jobs, driving the economy and building breakthroughs to solve society’s most pressing challenges,” said Toohey.

As Chairman and Ranking Member on the Senate Armed Services Committee, Sens. Levin and McCain launched a bipartisan investigation into the dangers of counterfeit semiconductor chips, raising the profile of this important issue and leading to historic reforms at the Department of Defense. Furthermore, Sens. Levin and McCain have shown steadfast support for research funding within the Defense Department, helping sustain the pipeline of discoveries that fuel the semiconductor industry and the overall economy.

As leaders on the House Ways and Means Committee, Trade Subcommittee Chairman Brady and Health Subcommittee Chairman Herger have spearheaded efforts in the House to improve and extend the R&D tax credit. Although the credit expired last year, Reps. Brady and Herger have worked to ensure that it remains a priority on the House agenda. Throughout their congressional careers, Reps. Brady and Herger have recognized that the semiconductor industry is the backbone of innovation and that semiconductors enable economic growth, enhance societal welfare and contribute to our national security.

The Semiconductor Industry Association (SIA) represents the US semiconductor industry. Learn more at www.sia-online.org.

Visit the Semiconductors Channel of Solid State Technology!

June 29, 2012 — The Integrated Dynamics Engineering (IDE) Group developed the STC series of Active Vibration Isolation Systems supporting OEMs in the production of 450mm wafer equipment.

A global metrology system OEM has placed an order for the system.

IDE’s advanced STC Active Vibration Isolation system stabilizes the high-speed stage handling 450mm wafers during metrology processes. The STC system incorporates compact steel isolators in a rigid dual-level isolation platform installed between the frame and granite, and an integrated state-of-the-art IDE controller.

The easy-to-install STC vibration isolation system uses advanced stage motion compensation technology. It significantly reduces vibration levels and settling time, to enable high resolution imaging and critical measurement while increasing throughput.

IDE provides active vibration isolation, EMI cancellation, and acoustic controls to global semiconductor manufacturing and advanced microscopy companies. The IDE Group is part of the semiconductor division of Aalberts Industries. For more information on the IDE Group, visit www.ideworld.com.

Visit the Semiconductors Channel of Solid State Technology!

June 29, 2012 – PRNewswire — Semiconductor maker Maxim Integrated Products Inc. (NASDAQ:MXIM) is spending $200 million to upgrade and expand its US semiconductor manufacturing facilities in San Antonio and Dallas, TX; Beaverton, OR; and San Jose, CA. Maxim manufactures about 50% of its products in the US.

Maxim will invest approximately $65 million to expand its 380,000sq.ft. semiconductor fab in San Antonio, purchased from Philips Semiconductors Inc. in 2003. Maxim employs approximately 540 manufacturing and engineering staff in San Antonio. Manufacturing staff will be added over time as the expansion is completed and production ramps to capacity.

The fab makes ICs for mobile electronics — smart phones, tablet computers, automobile infotainment systems — and industrial equipment. The new wafer fab equipment (WFE) at San Antonio is needed to keep pace with the complexities and performance demands of new electronics, said Chris Michael, Managing Director of Maxim’s San Antonio fab.

Maxim was recently recognized by the San Antonio Water System with a "Refreshing Ideas Award," acknowledging its effective methods to reduce water consumption. Maxim’s quadruple dividends project helped the company save 55 million gallons of water annually through changes such as condensation harvesting, third-stage reverse osmosis, and analytical reclaim.

The company will also invest $75 million of the funds to upgrade its 226,000sq.ft. fab in Beaverton, OR, purchased from Tektronix, Inc. in 1994. The fab makes ICs for electronic devices such as factory automation equipment, GPS and navigation units for cars and planes, satellite systems, and communications devices.

Maxim will upgrade manufacturing equipment, improve process technologies, and convert to newer technology nodes. Maxim employs approximately 540 manufacturing and engineering staff in the Beaverton facility. Manufacturing staff will be added over time as the expansion is completed and production ramps to capacity.

With the aid of the Energy Trust of Oregon, Maxim’s Beaverton facility recently initiated an energy conservation program that has saved annually over 3.7 million kilowatt hours of electricity and reduced carbon dioxide emissions by over 1400 tons. The site is actively looking at additional energy-efficiency opportunities in solar-electric power, lighting automation, and boiler plant upgrades.

Maxim also employs approximately 300 workers at its Hillsboro, OR site, which is focused on engineering and administrative functions.

Maxim has approximately 9,300 employees worldwide. This investment is consistent with previously disclosed estimates for capital expenditures in Maxim’s fiscal years 2012 and 2013.

Maxim makes highly integrated analog and mixed-signal semiconductors. For more information, go to www.Maxim-ic.com.

Visit the Semiconductors Channel of Solid State Technology!

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

According to ISMI, in the face of challenges posed by technical innovations in materials and processes as well as the pressure for continued cost-competitive manufacturing, the industry will need to determine how, over the next five years, it will tackle the considerable issues related to factory lifetime extension for 200 mm and 300 mm, factory and equipment complexities for planar and vertical devices, 450 mm capability, EUV lithography, and III-V materials on Silicon. To address these issues, ISMI programs are focused on driving solutions for enhanced factory operations through automation, emerging process capabilities, factory lifetime extension, and fab materials supply and cost management.

This year’s ISMI’s Manufacturing Week featured 15 interactive sessions and 87 presentations covering critical process technologies and fab productivity issues and solutions relating to equipment productivity, ESH compliance, factory automation, and continuous performance improvement.

“This year’s conference focused on reducing IC production costs through advances in equipment, processes, conservation resources, fab design, and manufacturing methods,” said Sanjay Rajuru, ISMI Director. “ISMI members shared equipment software and hardware experiences, and exchanged real-time feedback on high value items that will be applied to their factories for further investigation and implementation.”

ISMI will work jointly with its members and equipment suppliers to develop concrete solutions to top industry challenges identified throughout the conference. Key issues and success stories presented by ISMI member companies include:

Equipment Productivity:

  • A new in-house scrubber design process to mitigate tool downtime.
  • A prognostics and health management approach to vacuum pumps is necessary to avoid extended tool downtime.
  • Top processing issues for improving electrostatic chuck performance and wafer micro-arcing reduction through enhanced detection methods and defect reduction techniques.

Equipment Variation Control:

  • Alignment of best known methods for fault detection and control performance data to six sigma limits.
  • Increasing chemical lifetime 50 percent by correlating etch rate to lifetime of the chemicals.
  • Normalizing chemical mechanical polishing pad change timing by measuring real-time consumption.

Equipment Obsolescence:

  • Financial and productivity impact of tool obsolescence on specific semiconductor equipment.
  • Alternate supply chain solutions for difficult-to-source or obsolete parts.

 Energy Conservation:

  • Overall abatement and energy reduction target strategies.
  • Clogging and reliability from by-product formation and issues with recirculation pumps and heaters.
  • Idle mode implementation with the tool status communicated by gas flows.
  • Existing and upcoming code requirements impacting toxic gas monitoring (TGM) design, system technologies and placement strategies for TGM sensors, and risk based TGM system configurations.

The 2011 ISMI Excellence award was given to Takashi Aoyagi, the former director of the Naka Factory and currently president and COO of Renesas Semiconductor Engineering Corp. The award was given in recognition for his leadership in guiding the team for the recovery of one of Renesas’ wafer fabrication sites, the Naka factory, which was heavily damaged by the Great East Japan Earthquake.

As a member driven consortium, ISMI is working cooperatively with more than 20 other leading chip maker and supplier members to continuously evaluate and refresh ISMI’s program content, which includes cycle time reduction, equipment productivity improvement, fab benchmarking, green initiatives, regulatory impacts, and resource conservation. In addition, ISMI and its members are collaborating with a broad network of companies, consortia, universities, national laboratories, and associations from around the world on innovative manufacturing and ESH technology solutions. ISMI membership is open to all semiconductor manufacturers and suppliers.

 

June 28, 2012 — Following are some of the process control, device inspection, defect detection, and other metrology and test products that will be on display at SEMICON West 2012, July 10-12 at the Moscone Center in San Francisco, CA.

More previews:

Wafer fab and handling products

Packaging products

450mm WaferSense auto vibration system

CyberOptics Semiconductor added a 450mm form factor to its WaferSense Auto Vibration System family. Users put the wireless, wafer-like product through semiconductor fabrication processes to measure vibrations of wafer transfers in x, y and z dimensions. It can be used to observe and optimize wafer, cassette, SMIF and FOUP motions without exposing process areas to the environment. The data helps establish yield-based vibration standards for equipment, identify vibration sources, and set acceptable acceleration parameters for equipment. Companion vibration monitoring software allows engineers to set  low, high, and band pass filters of equipment vibration frequencies to troubleshoot for vibration-related contamination. The software allows engineers to collect and display acceleration data wirelessly to see the effects of adjustments in real-time. CyberOptics Semiconductor, South Hall, Booth 2406.

Low-cost cryogenic probe station

Lake Shore Cryotronics Inc. will feature its range of cryogenic and cryogenic-free probe stations. The Model TTPX cryogenic probe station is a lower-cost cryogenic micro-manipulated probe station, used for non-destructive testing of devices on full and partial wafers up to 51mm (2”) in diameter. The TTPX measures electrical, electro-optical, parametric, high Z, DC, RF, and microwave properties of materials and test devices. A wide selection of probes, cables, sample holders, and options enable customization for specific measurement applications. Other models are available to meet a variety of parameters, including magnet field, maximum number of probe arms, standard temperature range, high and low temperature options, maximum sample size, vacuum, and sample stage rotation. Lake Shore will also be highlighting the new lower-cost cryogen-free probe station, the Model CRX-6.5K. Lake Shore Cryotronics Inc., Booth 6458.

Hall effect measurement system

Lake Shore’s new 8400 Series Hall Effect Measurement System (HMS) uses AC Hall effect measurement to support researchers exploring the electronic and magneto-transport properties of low mobility electronic materials. The 8400 Series can measure Hall mobilities down to 10-3cm2/Vs, suiting solar cell, organic electronics, transparent conducting oxides, III-V and III-VI semiconductors, magnetoresistors, and other materials. Lake Shore Cryotronics Inc., Booth 6458.

X-Ray diffractometer and reflectometer

Jordan Valley’s Delta-X is a new-generation flexible X-ray diffraction instrument for materials research, process development, and quality control. Featuring fully automated source and detector optics with a horizontal sample mounting, the system can switch between standard and high resolution X-ray diffraction, and X-ray reflectivity modes under full computer/recipe control without the need to manually change configurations. Measurements can be run partially or fully automated, with user-customizable scripts handling the routine work. It is also possible to run the tool in a completely manual mode, to enable the development of new methods or to investigate new materials. Analysis of the data can be fully automated as part of the measurement routine, or analyzed off-line if required. Using RADS and REFS in automated mode, developed for semiconductor manufacturing lines, allows routine analysis to be performed and reported without any user intervention. RADS and REFS can also be installed off-line to allow more detailed analysis. It features high-precision sample positioning and scanning with 300mm Eulerian cradle and full 300mm wafer horizontal mounting and mapping. Pole figures and residual stress measurements are possible due to 100° tilt (Chi) and unlimited azimuthal rotation (Phi). Jordan Valley Semiconductors Ltd., Booth 2141.

Transmission X-ray topography system

The JV QC-TT is a dedicated quality control tool for non-destructive detection of crystalline defects in semiconductor wafers. It inspects Si, GaAs, InP, Sapphire, SiC and other substrates up to 300mm wafers. The tool supports dual resolution for fast detection and detailed examination of defects. Defects include edge defects, fault lines, slip lines, point detects, and embedded defects. Full wafer scan or selected areas scans are possible. X-ray topography can also be used to detect the first “known good wafer” in the ingot. The QC-TT eliminates the “defect-etching” process and the use of hazardous etching chemicals, with faster throughput. The JV-SIA software suite supports reporting on defects and creating defect maps and lists. Jordan Valley Semiconductors Ltd., Booth 2141.

In-line 450mm X-Ray imaging system

The JV SENSUS 450mm/300mm in-line system detects crystalline non-visual defects (NVD) such as edge defects, fault line & slip lines within substrate or product wafers using Transmission X-Ray diffraction. The product solves key issues in the use of expansive 450mm wafers in manufacturing environment, where the thermal stresses on larger wafer are much higher and the wafers are subjected to many more handling steps. Metrology can cover fast selected region or full wafer scan options. Cracks observed in the X-ray images can help determine if the wafer will break during annealing. It can also be used to search for the first “known good wafer” in the ingot. A slice is taken from the ingot and a measurement performed on the JVSenus. The absence of slip and other defects indicates the good region of ingot which can be used. The tool uses JV-SIA software. Jordan Valley Semiconductors Ltd., Booth 2141.

Super-resolution digital microscope

KEYENCE’s VHX Series Digital Microscope integrates advanced zoom optics with a CCD camera and 17” display, light source, controller, and analysis/reporting software. It offers a magnification range from 0.1x – 5000x. Many lighting techniques are also supported including bright and dark field, transmitted, polarized, and differential interference observation. A color filter wheel allows users to choose a specific wavelength (red, green or blue) of light for their samples. A Super Resolution mode combines the blue filter with KEYENCE’s pixel shift technology, capturing images with 25% better resolution. The VHX-2000 can be equipped with a motorized XY stage along with motorized Z-axis lens control. Users can adjust movement in all three axes by using an included control pad. The Image Stitching function can now be completed with just the push of a button, and at much higher speeds, to produce up to a 20,000 x 20,000 pixel image that expands the viewing area by up to 200 times. Automated measurement functions simplify measurement tasks and help to remove variation between different users. The system also has the ability to save a portion of the image/measurements as a template to be used to measure future samples. KEYENCE, Booth 6651.

3D laser scanning microscope

The new KEYENCE VK-X Series 3D Laser Scanning Microscopes combine the capabilities of SEMs and non-contact roughness gauges with the simplicity of an optical microscope. It boasts 0.5nm Z-axis resolution with a magnification range spanning 200x to 24,000x. Usability and ease-of-use have been improved with the addition of the AI-Scan function, allowing users to easily image and measure a target. A short-wavelength laser scans across a target to provide non-contact profile, roughness and thickness measurements, even on targets with highly-angular surfaces.  By combining the laser with an industry-leading, 16-bit photomultiplier, the VK-X can obtain an image and measurement on nearly any type of material, as well as thickness measurements on transparent films and coatings. In order to simplify the operation of the VK-X, the AI-Scan function was developed to automate the scanning process.  Users can simply place their sample on the stage, and by clicking a single button, the system will automatically adjust the sensitivity of the photomultiplier, set the upper and lower limits of the scan range and re-scan the target as needed to make sure all of the necessary information was captured.  By using this function, even inexperienced users can quickly and easily obtain accurate measurement data and high-resolution images. Additional features include a new WIDE-Scan function that is 8x faster than conventional laser scanning microscopes, while also improving the quality of the captured image.  A high-speed auto-focus algorithm has been incorporated into the system, and images can be captured at up to 21.6 megapixels.  All of the measurement functions from our previous VK Series product line have been extended to the VK-X, including the ability to measure the thickness or profile/roughness of transparent materials. KEYENCE, Booth 6651.

RoHS, WEEE & REACH testing & certification

Manufacturers of electrical and electronic equipment who export to the European Union must comply with the REACH (Registration, Evaluation, Authorization, and Restriction of Chemicals), WEEE (Waste of Electrical and Electronic Equipment) and RoHS (Restriction of Hazardous Substances) Directives, or risk losing access to market. TÜV Rheinland provides consulting, testing and labeling services for manufacturers and importers on all matters to do with the requirements of these directives to help companies gain European approvals. TÜV Rheinland, Booth 1825.

Top-load burn-in socket

Aries Electronics is introducing a top-load burn-in socket for new IC pin-out designs. It is delivered in 4 weeks at about $200 tooling costs, compared to other options that take up to three months and run over $30,000. Based on a modular design, the new socket can easily be configured to accommodate devices on 0.3mm-pitch and above, and can be used with BGA, µBGA, QFN, LGA and bare dies as well as a number of other devices like those used for MEMS testing with high acceleration rates. The top load socket helps reduce device damage for equipment under test (EUT) by minimizing several points of over-compression and errors in device insertion.  Devices are loaded and unloaded on the top of the socket without compressing the socket or holding it down.  When engaged, the socket also avoids over-compression with pressure pads that cover a larger surface area to distribute force on the device. A built-in hard stop also reduces insertion force on the PCB. The socket comes in a top load configuration, ready for device insertion, so no special tooling or push plates are required. The manual dual latches can be removed to allow the socket to open when the force is removed for efficient and quick testing of multiple devices. They are mounted and removed from the test board via 2 stainless steel alignment pins. The compression spring probes leave minimal witness marks on the bottom surface of the device pads for increased reliability. Compression spring probes are constructed of heat-treated beryllium-copper, and plated with a minimum of 30 micro inches gold per MIL-G-45204 over a minimum of 30 micro inches nickel per SAE-AMS-QQ-N-290. Contact forces are 15g/contact on a 0.30mm to <0.40mm pitch; 16g/contact on a 0.40mm to <0.50mm pitch and 25g/contact on pitches of 0.50mm or larger.  Estimated contact life is a minimum of 500,000 cycles and operating temperature is -55° to +150°C. Aries Electronics Inc., North Hall, Booth 6063.

 

“Smart” spectrometer

The Exemplar from B&W Tek is a miniature spectrometer with an embedded processor to enable on-board data processing, including averaging, smoothing, and automatic dark subtraction. In addition to these “smart” capabilities embedded directly into its compact form factor, the Exemplar also features “SuperSpeed” USB 3.0 communication with a data transfer of 900 spectra per second. Multichannel capabilities deliver an ultra-low trigger delay of 14 nanoseconds and a gate jitter of +/-1 nanosecond. The ability to control the CCD exposure time to within one microsecond allows users to have unparalleled control over the spectra’s signal-to-noise ratio. It is ideal for demanding applications such as high speed binning & sorting, reaction kinetics, and process monitoring. With the ability to support up to 16 simultaneous channels, the Exemplar is also the perfect solution for simultaneous multichannel analysis, such as multipoint sampling, and laser induced breakdown spectroscopy (LIBS). B&W Tek, Booth 2241.

Bond test tool

The Nordson DAGE 4000Plus Bondtester meets the requirements of emerging test applications including ribbon pull, pad cratering using hot pin pull, bend and fatigue testing. The 4000Plus bondtester uses the next-generation Paragon software providing semi-automatic test routines, automatic GR&R calculation, unique database search engine wizard and superior data reporting. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

X-ray inspection system

The Nordson DAGE XD7600NT Diamond X-ray Inspection System features the Nordson DAGE NT maintenance-free, sealed transmissive X-ray tube, providing 0.1 µm  feature recognition and up to 10 W of power, together with the 2 Mpixel XiDAT3 digital image detector makes this system the choice for the highest performance and highest magnification imaging tasks. The vertical system configuration, with the X-ray tube sitting below the isocentric ‘move and tilt’ of the detector, all controlled through the simple, joystick-free, ‘point and click’ operation of the Nordson DAGE Image Wizard Software provides the safe and collision-free inspection required for production applications. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

Watch the Solid State Technology website for many more product previews of SEMICON West.

Visit the Semiconductors Channel of Solid State Technology!

June 28, 2012 — Solid State Technology and SEMI today announced the finalists for the 2012 “Best of West” awards, recognizing important product and technology developments in the microelectronics supply chain. Held in conjunction with SEMICON West, the largest and most influential microelectronics exposition in North America, the Best of West finalists have been selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

The 2012 Best of West Finalists are:

  • The QCTT defect inspection system from Jordan Valley Semiconductor UK Ltd. solves key issues in the use of 450mm wafers in a manufacturing environment, where wafers are subjected to more handling steps and the thermal stresses on larger wafers are much higher. This makes the wafers more prone to breakage, which can be predicted using the QC‐TT. The system can also identify the slip and other crystalline defects in wafers, which may not have catastrophic effects on the substrate integrity but will contribute to a reduction in yield.
  • The NSRS320F Dry ArF 193 nm scanner from Nikon Precision, Inc. is based on the company’s Streamlign platform, to satisfy the demanding non‐immersion overlay accuracy, stability, and ultra‐high productivity requirements essential to cost‐effective 22 nm applications and beyond. The Streamlign platform, which was first employed on immersion scanners, provides industry‐leading overlay accuracy ≤ 3 nm with throughput ≥ 200 wafers per hour (WPH).
  • X-Plane Analysis from Nordson DAGE is an option for the company’s DAGE range of X-ray inspection systems. It uses a tomosynthesis technique to create 2-D X-ray slices in any plane of a semiconductor device or printed circuit board assembly. The user can get a very high level of detailed information about potential failures without the need to destroy the sample,  usually necessary with traditional CT systems.

The selection of finalists was made by a prestigious panel of judges representing a broad spectrum of the microelectronics industry.

The Best of West Award winner will be announced during SEMICON West on Wednesday, July 11, 2012 at 1:00pm.

About SEMI

SEMI is the global industry association serving the nano- and microelectronic manufacturing supply chains. SEMI member companies are the engine of the future, enabling smarter, faster and more economical products that improve our lives. Since 1970, SEMI has been committed to helping members grow more profitably, create new markets and meet common industry challenges. SEMI maintains offices in Beijing, Bengaluru, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C. For more information, visit www.semi.org

About PennWell

PennWell Corporation is a diversified business-to-business media and information company that provides quality content and integrated marketing solutions for the following industries: Oil and gas, electric power, water and wastewater, renewable, electronics, semiconductor, contamination control, optoelectronics, fiberoptics, enterprise storage, converting, nanotechnology, fire, emergency services and dental. Founded in 1910, PennWell publishes over 120 print and online magazines and newsletters, conducts 60 conferences and exhibitions on six continents, and has an extensive offering of books, maps, web sites, research and database services. In addition to PennWell’s headquarters in Tulsa, Oklahoma the Company has major offices in Nashua, New Hampshire; Houston, Texas; London, England; Mountain View, California; Fairlawn, New Jersey, Moscow, Russia, and Hong Kong, China.

June 28, 2012 — Semiconductor software solutions provider DFMSim announced a distribution agreement with a leading US process control original equipment manufacturer (OEM) that involves the integration of DFMSim’s SMARTlitho manufacturing software into new tools for advanced metrology.

SMARTlitho comprises 3 tools to characterize lithography-related process data: SMARToverlay and SMARTcd [Critical Dimension] for on-line analysis, and SMARTmodeler for off-line process analysis. DFMSim’s products enable semiconductor manufacturers to find and avoid systemic defects in wafer processing.

The partnership is DFMSim’s first deal with a metrology leader. The company has similar engagements with other players in the IC design-to-manufacturing ecosystem, including a recent installation of SMARTyield at a development foundry in the US.   

“Few technologies can influence cost savings in a fab more dramatically than process control,” said DFMSim chief executive officer, Anantha Sethuraman.  “And nowhere is process control more valuable than lithography

DFMSim executives will be present at SEMICON West 2012 in San Francisco, July 10-12 at the Moscone Center in San Francisco, CA.

To learn more about SMARTlitho manufacturing software, please visit www.dfmsim.com.

Visit the Semiconductors Channel of Solid State Technology!

June 25, 2012 — SEMATECH added Michael Lercel as senior director for nanodefectivity and metrology, taking the helm on SEMATECH’s ongoing semiconductor wafer metrology program and the new Nanodefect Center based at the College of Nanoscale Science and Engineering’s (CNSE) Albany NanoTech Complex in NY. 

Lercel will serve as the technical liaison between partner companies and SEMATECH, and will develop and execute strategies for defectivity and metrology R&D.

SEMATECH’s new Nanodefect Center collaborates with the semiconductor industry on detecting, modeling, characterizing, and providing solutions for defect issues as geometries shrink below the 10nm node. The center is an expansion of SEMATECH’s metrology and analysis capabilities. It will investigate the generation, propagation, removal, and impact of defects generated by equipment, equipment components, and materials used in advanced semiconductor processes such as lithography, etch, chemical mechanical polishing (CMP), deposition, and cleaning.

Also see: Top semiconductor metrology challenges from SEMATECH POV

Lercel brings “a wealth of real-world processing knowledge” in semiconductor process and equipment R&D and manufacturing to the consortium, said Dan Armbrust, SEMATECH’s president and CEO. Lercel joins SEMATECH from Cymer, where he was senior director of EUV product marketing. Prior to Cymer, Lercel served in various lithography-related positions at IBM for 14 years. Lercel was SEMATECH’s director of lithography from 2005 to 2008, as an assignee from IBM, driving critical R&D in next-generation litho technologies.

Lercel received a doctorate in physics from Cornell University and holds a bachelor’s degree in physics from the Massachusetts Institute of Technology (MIT). He owns 11 patents and has delivered numerous presentations and papers at major industry meetings.

SEMATECH is an international consortium of leading semiconductor device, equipment, and materials manufacturers. Learn more at www.sematech.org.

Visit the Semiconductors Channel of Solid State Technology!

June 24, 2012 – BUSINESS WIRE — Laboratory network Evans Analytical Group Inc. (EAG) added electron backscatter diffraction (EBSD) to its analytical and testing services.

EBSD characterizes the grain structure of crystalline materials, using a scanning electron microscope (SEM) beam to scan a sample and collect diffraction patterns from every point in the SEM image.

Users gain information on grain size (histograms and averages), grain shape, grain orientation (texture), deformation, and phase distribution.

EAG’s capabilities for crystalline materials characterization include EBSD, x-ray diffraction (XRD), tunneling electron microscopy (TEM), SEM and bulk compositional analysis by WD-XRF, ICP-MS and GD-MS. 

Also read: SEM tweak enables crystal study of particles as small as 10nm

EAG is an integrated, independent laboratory network. EAG’s Materials Characterization Division provides high-value analytical services focused on surface analysis and materials characterization in support of high-technology industries. The company’s Release to Production Division provides expert engineering service and support from chip tape-out to volume production in support of the electronics industry. Other divisions include EAG Life Sciences and EAG Coatings Solutions. EAG is majority owned by Odyssey Investment Partners, LLC, a private equity firm with more than $2 billion in capital under management. Learn more at www.eaglabs.com.

Visit the Semiconductors Channel of Solid State Technology!