Category Archives: Packaging

The semiconductor industry showed impressive figures in 2017: +21.6% YoY growth to reach about US$ 412 billion. Without any doubt, the industry is entering a new age, where innovation and disruption are the key words. In addition to mobile, Yole Développement (Yole) analysts identified emerging mega-drivers that are step by step changing our world. Big data, AI, 5G, HPC, IoT, smart automotive, industry 4.0, datacenters and more, all mega-trends becoming part of our day to day life, with a direct impact on the semiconductor industry and its supply chain. In its latest report, Status of the Advanced Packaging Industry, Yole predicts an impressive US$39 billion advanced packaging market in 2023 with 7% CAGR.

“The advanced packaging is also driven by the wind of changes, due to the impressive impact of the megatrends,” explained Emilie Jolivet, Division Director, Semiconductor & Software at Yole. “Yole and NCAP China have decided to combine their expertise this year again to propose the Advanced Packaging & System Integration Technology Symposium in Shanghai, prior NEPCON China. This Shanghai edition will be the place to be to understand the industry evolution and measure the impact of the megatrends”.

NCAP CHINA and Yole build an innovative program fully dedicated to the advanced packaging industry: the Advanced Packaging & System Integration Technology Symposium takes place in Shanghai, China, from April 22 to 23, 2019, prior to NEPCON China 2019. During 2 days, all packaging aspects, including Panel Level, Fan-Out, SiP , Advanced Substrates and 3D Technology, will be discussed. A focus on key applications such as AI, HPC, memory, transportation (48V, EV/HEV , embedded die packaging platform, PCB , advanced substrates), 5G and consumer (WLP and Fan-Out platforms) will be at the heart of the conference.

Both partners invite you to meet the leading executives and gain an in-depth understanding of the market evolution! More info.

Mega-trends create huge business opportunities amongst various advanced packaging platforms. Therefore, advanced packaging technologies are just ideal for fulfilling numerous performance and complex heterogeneous integration needs.

“Two advanced packaging roadmaps are foreseen: scaling and functional,” asserts Santosh Kumar, Principal Analyst & Director Packaging, Assembly & Substrates, Yole Korea. “And the semiconductor industry is developing products for both of them. Advanced packaging is seen as a way to increase the value of a semiconductor product, adding functionality, maintaining/increasing performance while lowering cost…”

Both roadmaps developed by the Semiconductor & Software team at Yole, hold more multi-die heterogeneous integration, called SiP, and higher levels of package customization in the future. A variety of SiP solutions is developing in both high and low end, for consumer, performance and specialized applications. Heterogeneous integration has clearly created opportunities for both the substrate and WLP based SiP.

More than that. The advanced packaging supply chain is also involved in this fantastic story. Leading companies, startups, R&D institutes, the worldwide advanced packaging industry is playing the game. In order to expand the business, explore new areas and prepare for future uncertainty, advanced packaging players are moving to different business models:

• Some IDMs such as Intel are entering the foundry business to leverage their front-end technology expertise and create additional revenue stream by utilizing their excess capacity. Samsung, SK Hynix are also part of the playground…
• OEMs , software and service companies are designing their own chips and controlling the supply chain of equipment & materials related to it. Betting on mega-trends such as AI, some OSATs are expanding into the fablite business model.
• Pure play foundries including TSMC, XMC, UMC and SMIC are entering the high-end packaging business to provide turnkey solution to their customers.
• OSATs, such as Amkor Technology, JCET/STATS ChipPAC, ASE, SPIL, Powertech Technology…, are directing considerable efforts in developing advanced wafer level and 3D IC packaging capability to support requirements for scaling & density. OSATs are expanding their testing expertise & traditional pure test players are investing in assembly and packaging capability.
• Substrate manufacturers are penetrating the advanced packaging area with panel-level fan-out packaging and embedded die in organic laminate.

It is a fact. Advanced packaging is at the heart of innovation. Mega-trend applications are bringing new challenges, and leading advanced packaging companies from all over the world will come to exchange ideas on their vision and future perspectives at the Advanced Packaging & System Integration Technology Symposium.

Dr. Cao LiQiang, NCAP’s CEO asserts: “Under the background of China 13th Five-Year Plan and Made in China 2025, local organizations, including NCAP, focus on the core technology development for semiconductor industry and make big progresses. Promoting international communication as well as global cooperation on advanced packaging is the goal shared by Yole and NCAP, and the reason why we insist to organize the activity and make it an annual big event. With good reputations, hot topics and insightful presentations, we firmly believe that 2019 symposium will be a success. Don’t miss the opportunity to learn technology trend and expand your business at China.”

Yole and NCAP have created an unprecedented program to understand the status of the advanced packaging industry and help the companies to be part of the ‘tomorrow’ industry. The Advanced Packaging & System Integration Technology Symposium is unique.

The RF GaN industry is showing an impressive growth with a 23% CAGR between 2017 and 2023, driven by telecom and defense applications. By the end of 2017, the total RF GaN market was close to US$380 million and 2023 should reach more than US$1.3 billion with an evolving industrial landscape (1). Telecom and defense are looking for innovative technologies and RF GaN-based devices are directly answering to the market demand.

Figure 1

Defense remains a major RF GaN market segment, as its specialized high-performance requirements and low price sensitivity offer many opportunities for GaN-based products. In 2017-2018, the defense sector accounts for more than 35% of the total GaN RF market, and the global defense market shows no signs of slowing down (1).

“We believe this important GaN market segment will continue growing along with GaN’s overall penetration rate,” asserts Hong Lin, PhD. Senior Technology & Market Analyst at Yole Développement (Yole), part of Yole Group of Companies.

Under this dynamic ecosystem, Yole’s partner, Knowmade, has deeply analyzed the RF GaN IP landscape and proposes today a dedicated report, RF GaN 2019 – patent landscape analysis. This report reveals the competitive landscape from a patent perspective. Key patent owners, IP & technology strategies, and future intents have been deeply analyzed by Knowmade’s analysts. This report details competitors’ strengths and weaknesses in terms of patents and technologies. It also proposes a comprehensive description of the technology trends and emerging technologies status.
GaN RF has been recognized by industrial companies and has clearly become mainstream. Leading players are increasing revenue very rapidly and this trend will remain for the next several years. From an IP perspective, American and Japanese players dominate the RF GaN IP ecosystem. So who are the leading RF GaN companies? What is the status of their patent portfolio? Do they have the right IP portfolios to face huge opportunities?…

Knowmade’s analysts invite you to discover the status of the RF GaN IP landscape.

“Cree (Wolfspeed) indisputably has the strongest IP position, especially for GaN HEMTs on SiC substrate,”comments Nicolas Baron, PhD., CEO and co-founder of Knowmade. “Sumitomo Electric, the market leader in RF GaN devices, is well positioned but far behind Cree.”

Furthermore, Sumitomo Electric has been slowing down its patenting activity while other Japanese companies like Fujitsu, Toshiba and Mitsubishi Electric are increasing their patent filings and thus today have strong patent portfolios as well.

Intel and MACOM are currently the most active patent applicants for RF GaN, both especially for GaN-on-Silicon technology, and are today the main IP challengers in the RF GaN patent landscape.
Other companies involved in RF GaN market, such as Qorvo, Raytheon, Northrop Grumman, NXP/Freescale, and Infineon, hold some key patents but do not necessarily have a strong IP position. CETC and Xidian University dominate the Chinese patent landscape with patents on GaN RF technologies targeting microwave and mm-wave applications. And the emerging foundry HiWafer, entered the IP landscape three years ago, is today the most serious Chinese IP challenger… American and Japanese companies are playing a key role on the RF GaN IP playground.

From a device perspective, Cree (Wolfspeed) has also taken the lead in the GaN HEMT IP race for RF applications… “The analysis of Cree’s RF GaN patent portfolio shows it can effectively limit patenting activity in the field and control the freedom-to-operate of other firms in most key countries,” explains Nicolas Baron from Knowmade.

Intel, which entered the GaN HEMT patent landscape later, is currently the most active patent applicant and it should strengthen its IP position in coming years, especially for GaN-on-Silicon technology. New entrants in the GaN RF HEMT related patent landscape are mainly Chinese players: HiWafer, Sanan IC and Beijing Huajin Chuangwei Electronics.
Other noticeable new entrants are Taiwan’s TSMC and Wavetek Microelectronics, Korea’s Wavice and Gigalane, Japan’s Advantest, and America’s MACOM and ON Semiconductor…

Under this new IP report, the technology intelligence and IP strategy consulting company, Knowmade, has selected and analyzed more than 3,750 patents published worldwide up to October 2018. These patents pertain to RF GaN epiwafers including GaN-on-SiC and GaN-on-Silicon, RF semiconductor devices, including HEMTs and HBTs , integrated circuits, including RFICs and MMICs , operating methods and packaging, for all functions, such as RF PAs , RF switches and RF filters and from radio frequencies <6GHz to microwaves >6GHz and mm-waves >20GHz. A detailed description of this IP report is available on Knowmade’s website.

By Mike Russo

For public policy lovers, civic-minded, engaged U.S. citizens, and people around the world interested in the U.S. President’s positions and priorities, the annual State of the Union address (SOTU) is “must-see TV.” This year, the anticipation and expectations were different than with past presidents. Trump is the first U.S. president who has used social media to the extreme that he has. Indeed, his Twitter feed is the most followed in history.

President Trump’s prolific Twitter feed has had an interesting impact on the SOTU. U.S. citizens and people from around the world already know President Trump’s positions on issues, his policy priorities and what gets him excited. There is an ongoing, direct line to the President’s thoughts throughout each and every day. In the past we looked to the SOTU for insights into what the sitting president is really thinking and his future policy priorities. Now, there isn’t much we don’t already know.

One looming question this year was whether President Trump would reach out in a conciliatory manner to help bridge the political divide and lay the groundwork to enable some public policy wins and avoid another government shutdown. While there were moments of conciliation, the President made it clear he would not move on areas that are most contentious with the other side of the aisle.

For example, the President unequivocally reiterated his intent to build “the wall.” While the message plays well to his base, it is, in effect, a frontal assault and challenge to Democrats. It’s hard to image that his staunch stance will help move the two parties to work together on substantive policy issues. It may also mean that the “wall” issue will occupy lawmakers time for the foreseeable future, sidelining debate on other important issues.

The best hope is that a bipartisan bill finds its way to the President’s desk that he can sign and use to “declare victory.” However, many political observers believe the likelihood of the President declaring a national security emergency is rising as a maneuver to ensure funding for “the wall” and avoid a shutdown. While such a declaration would most likely face a court challenge, the President could claim that his decision was a move of last resort and leverage the moment to position Democrats as obstructionists to his base. The scenario does not bode well for the bipartisan support necessary to address other issues.

What does this mean for our industry? Were there any points raised in the SOTU that would signal a change in what we are facing regarding trade, tariffs, export controls and immigration? Were any new issues or ideas raised that could help lift the global economy? In short, no. On one hand, the President cited his good relationship with the president of China, but on the other doubled down on his attacks on China, seeming to stand firm to bolster his position at the table as the U.S. and China trade talks continue.

What do these dynamics mean for SEMI Global Advocacy? In 2018 we were heavily engaged in efforts to prevent regulations that would inhibit our members’ ability to develop and deploy technologies and maintain global market access. We advanced our global advocacy model, leveraging our regional presence around the world. Many of the potential issues we faced emanated from the U.S., including those focused on controlling technology development, limiting trade and enhancing export controls. We also intensified our efforts to address industry talent pipeline issues.

In 2019, our public policy focus will be to continue to push back on tariffs, engage members to inform the rule-making process for export controls and to attempt to influence the immigration debate as it pertains to access to talent. In addition, while the U.S. R&D tax credit was made permanent through the tax cut in 2017, some of the provisions may have unintended consequences and will need to be modified. How the law is enacted will affect how businesses can deduct qualified research and development and other expenses from their taxable income, so we anticipate activity on the tax front as well.

It will also be a big year for SEMI on the workforce developmentfront. SEMI will continue to grow its existing High Tech U (HTU), university and mentor programs. In addition, SEMI will be positioning itself as the global leader in addressing issues related to the talent pipeline by approaching the problem with a full-spectrum, holistic approach that is intended to better address more immediate needs in attracting, training and retaining qualified talent. We’ll also focus on improving the industry image and exciting students at a younger age by providing experiential learning activities throughout a defined educational pathway. Stay tuned on this front as the full program unfolds.

In general, we will continue to build our relationships and stature as a leading voice for our members and the end-to-end semiconductor supply chain in the areas of “Talent, Trade, Tax and Technology” (SEMI’s “4 Ts”) and to ensure free and fair trade, access to markets, supply chain growth, IP protections and enhanced efforts to improve cybersecurity.

Mike Russo is VP of Global Industry Advocacy at SEMI. 

Source: SEMI Blog

The intelliFLEX Innovation Alliance announced today that Mark Majewski, a 30-year veteran of the Canadian technology industry and former geographic director at a major semiconductor company, has succeeded Peter Kallai as CEO.

Mr. Majewski has extensive experience in the electronics and technology industries in Canada, having overseen the generation of hundreds of millions of dollars at STMicroelectronics while running its East Central U.S. and Canada regions. He’s also been a key leader at several startups, volunteers as a mentor at the RIC Centre and Haltech, and most recently was the technology lead for business development at Ontario Centres of Excellence (OCE).

Mr. Majewski’s goal as CEO is to unite the growing critical mass of Canadian printable, flexible and hybrid electronics (FHE) companies and research with the country’s electronics and semiconductor industries. With his decades of technology experience, Mr. Majewski has the breadth of contacts, experience, and knowledge to successfully position intelliFLEX and its members alongside this massive industry.

“I’m honoured to have been named the next intelliFLEX CEO. I’ve taken this role because I believe in FHE and its future,” says Mr. Majewski. “All electronics players in Canada who want to expand their capabilities should be looking at this technology as it goes mainstream. Not only does FHE open the doors to new products and applications, it also has incredible value in augmenting and improving everyday electronics products that already exist.”

Indeed, as microelectronics and semiconductor companies hit the limits of Moore’s Law for integrated circuits, mainstream companies are searching for new ways to produce electronic components more efficiently for new and existing applications.

That’s where printable, flexible and hybrid electronics come in: FHE, which represents a $31.6B global market opportunity, uses next-generation additive and manufacturing electronics technologies that can help all electronics players in Canada. This strategy has already been embraced in the U.S. where a cross-pollination of mainstream electronics, FHE, and semiconductors is occurring.

“I’ve cherished the opportunity to work with intelliFLEX,” said outgoing CEO Peter Kallai, who founded intelliFLEX and will remain involved by supporting Mr. Majewski during the transition period and sitting on the board of directors. “However, what we need to do is move the organization into the mainstream electronics industry and be the rising tide of the ecosystem that lets all our members sail further, faster and easier.

“We needed a professional from that industry, with the right background, to do that. And I strongly believe Mark will take intelliFLEX to the next level.”

At the same time, intelliFLEX will also move its head office from Ottawa to the Greater Toronto Area. This will help the organization be physically closer to the heart of Canada’s electronics industry, of which the majority is located in Toronto. Seventy-five per cent of intelliFLEX members are in either Ontario or Quebec.

KLA Corporation (NASDAQ: KLAC) today announced the appointment of Victor Peng to its board of directors.

Mr. Peng is president and chief executive officer of Xilinx, where he also serves as a member of the board of directors. Peng has over 30 years of experience leading technology transformation, defining and bringing to market FPGAs, SoCs, GPUs, high performance microprocessors and chip sets, and microprocessor IP products.

“We’re excited to have Victor Peng join the KLA board,” commented Edward W. (Ned) Barnholt, chairman of the board of KLA Corporation. “Victor is an accomplished semiconductor industry leader who brings significant business knowledge, technical expertise, and operational experience that will be invaluable to KLA as we execute our strategies for growth and market leadership.”

Peng joined Xilinx in 2008 and was named president and CEO of the company in January of 2018. Previously he was Xilinx’s chief operating officer, with responsibility for global sales, global operations and quality, product development, and product and vertical marketing. Prior to that, he served as the company’s executive vice president and general manager of Products, a position he held since July 2014. Mr. Peng previously held executive roles at AMD, ATI, and MIPS Technologies.

Peng serves on the board of the Semiconductor Industry Association. He earned a Bachelor of Science, Electrical Engineering from Rensselaer Polytechnic Institute and a Master of Engineering, Electrical Engineering from Cornell University. He holds four U.S. patents.

The semiconductor business is defined by rapid technological changes and the need to maintain high levels of investment in research and development for new materials, innovative manufacturing processes for increasingly complex chip designs, and advanced IC packaging technologies.

However, since the 1980s, the long-term trend has been toward a slowdown in the annual growth rate of research and development expenditures according to data presented in the new, 2019 edition of IC Insights’ McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry (released in January 2019). Consolidation in the semiconductor industry has been a big factor contributing to lower growth rates for R&D expenditures so far this decade. In the most recent five-year span from 2013-2018, semiconductor R&D spending grew by CAGR of 3.6% per year, essentially unchanged from the 3.3% experienced from 2008-2013 (Figure 1).

Figure 1

IC Insights expects new challenges such as three-dimensional (3D) die-stacking technologies, growing complexities in end-use applications, and other significant manufacturing barriers to raise semiconductor R&D spending to a slightly higher growth rate of 5.5% per year in the 2018-2023 forecast period.

R&D spending trends discussed here cover expenditures by integrated device manufacturers (IDMs), fabless chip suppliers, and pure-play wafer foundries and do not include other companies and organizations involved in semiconductor-related technologies, such as production equipment and materials suppliers, packaging and test service providers, universities, government-funded labs, and industry cooperatives, such as IMEC in Belgium, the CAE-Leti Institute in France, the Industrial Technology Research Institute (ITRI) in Taiwan, and the U.S.-based Sematech consortium, which was merged into the State University of New York (SUNY) Polytechnic Institute in 2015.

With the value of more than 90 merger and acquisition agreements topping $250 billion since 2015, tremendous consolidation has been underway among semiconductor suppliers—many of them major IC companies—which have been cutting costs by hundreds of millions of dollars and leveraging “synergies,” meaning the elimination of overlapping expenditures (e.g., jobs, facilities, and R&D activities) in an attempt to achieve higher levels of productivity and greater profits. After rising just 1% in 2015 and 2016, total semiconductor R&D spending grew 6% in 2017 and increased 7% in 2018 to reach a new record- high level of $64.6 billion.

During the last 40 years (1978-2018), R&D expenditures have increased at a compound annual growth rate of 14.5%, slightly higher than the total semiconductor revenue CAGR of 12.0%. Since the year 2000, semiconductor R&D spending as a percent of worldwide sales has exceeded the 40-year historical average of 14.5% in all but four years (2000, 2010, 2017, and 2018). In these four years, lower R&D-to-sales ratios had more to do with the strength of revenue growth than weakness in research and development spending.

Intel names Robert Swan CEO


January 31, 2019

Intel Corporation (NASDAQ: INTC) today announced that its board of directors has named Robert (Bob) Swan as chief executive officer. Swan, 58, who has been serving as Intel’s interim CEO for seven months and as chief financial officer since 2016, is the seventh CEO in Intel’s 50-year history. Swan has also been elected to Intel’s board of directors.

Intel Corporation has named Robert Swan as its chief executive officer. His promotion was announced Jan. 31, 2019. Swan, who previously served as the company’s chief financial officer and interim CEO, is the seventh CEO to lead the company based in Santa Clara, Calif. (Credit: Intel Corporation)

Todd Underwood, vice president of Finance and director of Intel’s Corporate Planning and Reporting, will assume the role of interim chief financial officer as the company undertakes an internal and external search for a permanent CFO.

“As Intel continues to transform its business to capture more of a large and expanding opportunity that includes the data center, artificial intelligence and autonomous driving, while continuing to get value from the PC business, the board concluded after a thorough search that Bob is the right leader to drive Intel into its next era of growth,” said Chairman Andy Bryant. “The search committee conducted a comprehensive evaluation of a wide range of internal and external candidates to identify the right leader at this critical juncture in Intel’s evolution. We considered many outstanding executives and we concluded the best choice is Bob. Important in the board’s decision was the outstanding job Bob did as interim CEO for the past seven months, as reflected in Intel’s outstanding results in 2018. Bob’s performance, his knowledge of the business, his command of our growth strategy, and the respect he has earned from our customers, our owners, and his colleagues confirmed he is the right executive to lead Intel.”

“In my role as interim CEO, I’ve developed an even deeper understanding of Intel’s opportunities and challenges, our people and our customers,” Swan said. “When I was first named interim CEO, I was immediately focused on running the company and working with our customers. When the board approached me to take on the role permanently, I jumped at the chance to lead this special company. This is an exciting time for Intel: 2018 was an outstanding year and we are in the midst of transforming the company to pursue our biggest market opportunity ever. I’m honored to have the chance to continue working alongside our board, our leadership team, and our more than 107,000 superb employees as we take the company forward.”

Swan is a proven leader with a strong track record of success both within and outside Intel. As interim CEO, Swan has managed the company’s operations in close collaboration with Intel’s senior leadership team. Swan has been Intel’s CFO since October 2016. In this role, he led the global finance, mergers and acquisitions, investor relations, IT and corporate strategy organizations. Prior to joining Intel, Swan served as an operating partner at General Atlantic LLC and served on Applied Materials’ board of directors. He previously spent nine years as CFO of eBay Inc., where he is currently a director. Earlier in his career, he was CFO of Electronic Data Systems Corp. and TRW Inc. He also served as CFO, COO and CEO of Webvan Group Inc., and began his career at General Electric, serving for 15 years in several senior finance roles.

The inaugural SEMI 3D & Systems Summit opens today as the industry gathers for the latest insights and trends in 3D integration and systems for semiconductor manufacturing and applications. The 28-30 January summit in Dresden, Germany, highlights the future of intelligent systems powered by artificial intelligence (AI). To register, click here.

SEMI 3D & Systems Summit features a broad scope of topics aimed at driving business opportunities and innovation in areas including:

  • 3DIC Through-Silicon-Via (TSV) technology
  • 2.5D, 3D FO-WLP/e-WLB
  • Active and passive interposers
  • Stacked dies or stacked wafers
  • 3D alternative technologies
  • 5G Integration

Keynotes

Professor Hubert Lakner, director, Fraunhofer-Institute for Photonic Microsystems IPMS, will kick off the summit with his keynote Heterointegration – The Path to Future Complex Intelligent Systems. Lakner will explore connected intelligence opportunities arising from the transition to autonomous driving, the digitalization and electrification of cars, and the digitalization of industry and electrical power grids. These capabilities will be enabled by AI, improved cybersecurity, reinforced connectivity through 5G, edge computing, low-power components, sensors and power management.

Steffen Kroehnert, senior director, Technology Development, Amkor Technology Inc. will discuss advances in heterogeneous integration. The current wave of technology innovation in the semiconductor industry is largely driven by AI, deep learning, cloud computing and Internet of Things (IoT), with each of these leading-edge technologies sharing a common need: high-speed signaling with ultra-low latency/power and real time computational formulations. These capabilities require fully integrated functionality at the source, better known as the edge.

3D & System Summit Speakers

3D & Systems Summit speakers include experts from industry leaders such as Orbotech, GLOBALFOUNDRIES, Fraunhofer-Institute for Photonic Microsystems IPMS, EPIC – European Photonics Industry Consortium, McKinsey, System Plus Consulting, ASE Group, imec, DISCO HI-TEC EUROPE, STMicroelectronics, G-ray, Amkor, TU Dresden, Huawei, Fraunhofer IZM, AT&S AG, Deca Technologies and Miland.

Exhibitors Include Leaders in 3D Integration Microelectronics

The exhibition will showcase the most prominent names in 3D integration microelectronics manufacturing including ASE Group, Amkor Technology, Canon, Confovis, DISCO, FineTech, Fraunhofer IZM, FRT Metrology, Imec, LPKF, Optim Wafer Services, SPTS andXPERI. See the floorplan here.

3D & Systems Summit attendees are also invited to join the Symposium Panel Level Packaging 2019, organized by Fraunhofer IZM, 30 January, 14:00-18:00. Registration for the event is open. More details on the symposium are available here.

By Serena Brischetto

SEMI met with Martin Schrems, director of Strategy and Business Development at AT&S AG, to discuss Fan-Out technology trends ahead of SEMI 3D & Systems Summitin Dresden, Germany.

SEMI: What are the AT&S AG mission and vision and your role within the company?

Schrems: AT&S AG is evolving from a pure PCB manufacturer towards an interconnect solution provider. We can clearly see a continued trend towards miniaturization and modularization by (3D) integration of components such as integrated circuits and passives. Module sizes tend to increase by integrating more functions and system-level requirements. As a PCB maker, we have served such system-level requirements for a long time. Further integration offers opportunities to embed components in PCBs or substrates, offer layout and simulation services, as well as provide assembly and test services depending on specific customer requirements. As director of Strategy and Business Development, I work with my colleagues in AT&S, customers, and partners across the industry towards understanding and leveraging this major transformation in the electronics industry.

SEMI: What project are you currently working on that you think will make a difference in 2019?

Schrems: There are number of very exciting projects, many of them already involving AT&S contributions to module integration. Some of these projects involve key customers directly. We see exciting opportunities for integration of larger multi-function modules by combining PCB, substrate, and embedding core competences.

SEMI: The focus of your presentation at the 3D & Systems Summit will be on “Fan-Out System-in-Board technology enabling module and system-level integration.” What do you see as the key trend in this area?

Schrems: Fan-Out technologies are used to distribute I/O pad connections of nanoCMOS ICs over a larger area. This relaxes bump pitch and feature size requirements for subsequent system-level PCB interconnects. In some cases, Fan-Out layers already provide a substitute to currently used Flip-Chip substrates. Well-known examples are Fan-Out packages for application processors for smartphones. There is definitely a trend in the market towards Fan-Out for high-end processor applications. Advantages of such Fan-Out packages are shorter electrical connections and a reduced thickness.

However, one weakness of current Fan-Out packages is that only a limited number of components can be integrated due to mechanical stability challenges – a barrier to further component integration in larger modules. Currently, the only way to integrate more components is to use laminate-based PCBs and substrates with conventional Surface Mount Technology. Recent proposals like our “Fan-Out System-in-Board” (FO-SiBTM) technology are expected to provide an alternative Fan-Out packaging option at the board-level in the future.

SEMI: Please elaborate.

Schrems: Fan-Out capability and integration of more components – typically up to the 100 and more needed for electronics integration at system level – can be achieved simultaneously by combining technologies from the PCB and the packaging world. PCB laminates such as glass particles and organic materials provide mechanical stability for large boards. The recent introduction of substrate-like PCBs (mSAP) has already paved the way to cover applications that were reserved for substrates and classical packaging in the past.

With FO-SiBTM technology, we have taken it a step further and offer the option to integrate SAP substrate layers onto the PCB with lines/spaces below 10µm. FO-SiBTM makes it possible to directly contact nanoCMOS chips on PCBs without any intermediate substrates. Further adding Cu pillar technology at panel level will enable Fan-Out structures even for surface-mounted components, making recent R&D on panel-level Cu pillar technology very important. Through joint R&D, we can drive progress in the industry to further enable cost-effective heterogeneous 3D integration.

SEMI: What are your expectations for the 3D & Systems Summit in Dresden, and why do you recommend your members and other industry leaders to attend?

Schrems: The 3D summit is the high-level conference where key electronics industry players discuss major heterogeneous integration trends. Therefore, we very much appreciate the opportunities to exchange ideas across the supply chain including users, developers of integrated electronics hardware and tool manufacturers.

Serena Brischetto is a marketing and communications manager at SEMI Europe.

Laser systems specialist LPKF Laser & Electronics, based in Hannover, Germany has added a foundry service for thin glass substrates to its product portfolio. The company recently introduced the Laser-Induced Deep Etching technology, or LIDE for short, a process for the precise and highly efficient manufacturing of through-glass vias (TGV) and other deep micro features in thin glass substrates. The LIDE process is able to overcome past limitations in glass drilling and micro machining as it combines very high productivity and low manufacturing cost with the superior quality of a direct data process, forgoing masks or photo processing.

With the introduction of its new independent foundry service, LPKF is hoping to make the LIDE technology available on a much wider scale, covering both prototyping and experimental applications as well as scalable mass production capacity. The service is aimed at the manufacturing of glass substrates for advanced IC and MEMS packaging as well as micro-machining of spacer wafers,microfluidics and other specialty glass applications. LPKF’s new foundry service is located at its corporate headquarters and will operate under the company’s Vitrion brand name.

Established in 1976, LPKF Laser & Electronics manufactures laser systems used in circuit board prototyping, microelectronics fabrication, solar panel scribers, laser plastic welding systems and recently added a foundry service for thin glass substrates used in electronics packaging. LPKF’sworldwide headquarters is located in Hannover, Germany and its North American headquarters resides in Portland, OR.