Lithography

LITHOGRAPHY ARTICLES



November 2006 Asian Exclusive Feature 2:

Japan: Addressing the challenges of next-generation litho track systems



11/16/2006  Helen Armer, Sokudo Co. Ltd., Kyoto, Japan

Dainippon Screen Mfg. Co. Ltd. and Applied Materials Inc. formed the Sokudo semiconductor coat/develop track joint venture in July 2006 to develop new track technologies that will enable users to meet the challenges of next-generation lithography processing. Sokudo's goal is to offer users competitive and technically differentiated track products that can help keep the semiconductor industry on its roadmap to smaller linewidths.

IR, Silicon Saxony join fab owners' group

11/13/2006  November 13, 2006 - The Fab Owners Association (FOA), a collection of an association of mainly second-tier semiconductor manufacturers, has expanded its roster with the addition of power technology developer International Rectifier, and Silicon Saxony, a network of technology companies for the Saxony, Germany, region.

Nanophase notes new order

11/13/2006  Nanophase Technologies, a Romeoville, Ill. -provider of nanomaterials and advanced nanoengineered products, announced receipt of a new order for polishing nanodispersions for an unnamed Asian customer. Nanophase said it believes the nanodispersions will be used for LCD screen polishing.

Companies invest in NIL Technology

11/10/2006  Bridgehead Group, a New York-based international business strategy, venture capital and investment banking organization, announced it has acquired a major stake in NIL Technology ApS, a Danish nanotechnology company. The amount of the investment was not disclosed.

GenISys announces enhanced layout beamer for e-beam lithography

11/09/2006  GenISys GmbH, a Munich, Germany, provider of software solutions for efficient processing of large layout data and optimization of microstructure fabrication, announced Layout BEAMER 2.0.

Tower adds 0.18-micron LDMOS process

11/06/2006  November 6, 2006 - Israeli pure-play foundry Tower Semiconductor Ltd. has made available a new laterally diffused metal oxide semiconductor process (LDMOS) on its 0.18-micron platform, produced in its Fab 2 facility, targeting use in LCD drivers for cell phones and other handheld displays.

Grant making refrigeration system for Vistec e-beam nano-lithography machine

11/01/2006  Vistec Lithography, a Cambridge, England, developer of electron beam lithography systems for the semiconductor industry, announced that it selected Grant Technologies, a designer and manufacturer of custom scientific and industrial solutions, to develop a bespoke refrigeration system to cool the lens, chamber and handling system within its VB300 electron beam nano-lithography machine.

Modern materials present new contamination problems in semiconductor processes

11/01/2006  In the good old days-say, five years ago-shrinking semiconductor features meant contamination challenges due to eliminating smaller particles.

Fujitsu, Advantest tip "e-Shuttle" details

10/30/2006  October 30, 2006 - Fujitsu Ltd. and Advantest Corp. are offering more details about their upcoming 65nm-45nm prototyping service utilizing electron beam direct lithography, which might also pull in Fujitsu's optical prototyping services.

BOC, Aviza forge JDP to evaluate ALD precursors

10/30/2006  October 30, 2006 - BOC Edwards and Aviza Technology Inc. have agreed to jointly develop atomic layer deposition (ALD) technology, combining BOC's chemical precursor formulation with Aviza's hardware to optimize deposition processes for high-k materials and metals.

Intelligent Micro Patterning offers new rotational stage assembly

10/27/2006  Intelligent Micro Patterning LLC of St. Petersburg, Florida, announced its SF-100 Rotational Stage Assembly, a new enhancement to the SF-100 maskless micropatterning system.

TEL adding Rudolph litho inspection to coater/developer

10/26/2006  October 26, 2006 - Tokyo Electron Ltd. has signed a deal to integrate Rudolph Technologies' lithography inspection technology in its coater/developer tools, with worldwide distribution rights.

Nanometrics, ASML tie up for litho metrology

10/26/2006  October 26, 2005 - Nanometrics Inc. and ASML Holding NV have entered into a cross-licensing agreement to incorporate Nanometrics' overlay and critical-dimension control metrology technology into ASML's lithography systems.

Nanometrics, ASML to cross license advanced overlay, control systems

10/26/2006  Nanometrics Inc., a Milpitas, Calif., supplier of advanced integrated and standalone metrology equipment to the semiconductor industry, announced it has entered into a cross-licensing agreement with ASML, the provider of lithography systems for the semiconductor industry based in Veldhoven, the Netherlands.

EUV lithography approaches reality at IMEC

10/24/2006  One of the first two full-field extreme ultraviolet (EUV) lithography systems in the world is now being installed at IMEC in Leuven, Belgium (the other is at Albany Nanotech in New York). Journalists were invited to visit the class-1000 cleanroom full of 300mm tools to see the huge system that is expected to replace immersion lithography after another two or three nodes.

October 2006 Exclusive Feature:
LITHOGRAPHY

Outlook for EUVL manufacturing insertion



10/23/2006  By Stefan Wurm, SEMATECH, Austin, Texas

Extreme ultraviolet (EUV) technology maturity must be demonstrated by the performance of the first EUV lithography (EUVL) alpha tools, by the readiness of EUVL infrastructure to support beta-level EUVL lithographic performance in the near future, and by the overall cost of ownership (CoO) of EUVL. ...

EV Group and Datacon extend cooperation on advanced-chip-to-wafer technology

10/23/2006  EV Group, a St. Florian, Austria, supplier of wafer-bonding and lithography equipment for the advanced packaging, MEMS and semiconductor markets and Datacon Technology GmbH, a leading supplier of flip-chip and die bonding equipment, announced the installation of an EVG540C2W System at Datacon.

Benchmark, Holographix to provide fab service for nano-imprint template replication

10/23/2006  Benchmark Technologies of Lynnfield, Mass., and Holographix LLC of Hudson, Mass., announced they have entered into an exclusive manufacture and resale agreement for the fabrication and distribution of custom nano-imprint templates.

IMEC demonstrates feasibility of double patterning immersion litho for 32nm node

10/19/2006  IMEC, the Leuven, Belgium, independent research center for micro and nanotech, showed in collaboration with ASML the potential of double patterning 193nm immersion lithography at 1.2NA for 32nm node Flash and logic.

Immersion Symposium report: Industry optimistic about commercial success

10/17/2006  Attendees at the Third International Symposium on Immersion Lithography earlier this month, found a field moving out of R&D and into a competitive commercial phase. Key technical presentations addressed hyper-NA, resists, exposure tools, optical materials, process, photomasks, immersion defects, and alternative immersion fluids. More than 20% of submitted papers dealt with immersion defects, revealing the industry's momentum in preparing 193i for volume manufacturing at sub-65nm half-pitch.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts