Packaging

PACKAGING ARTICLES



HEIDENHAIN opens new office on west coast

12/11/2018  As the Silicon Valley in California and the West Coast continue to be the hub of the semiconductor manufacturing in the U.S., HEIDENHAIN has expanded its motion systems support by establishing an ETEL facility in Fremont, CA.

Emerging NVM : PCM finally takes off in stand-alone applications

12/10/2018  Ever-growing data generation driven by mobile devices, the cloud, the IoT , and big data, as well as novel AI applications, all part of the megatrends, requires continuous advancements in memory technologies.

Synopsys and imec demonstrate accelerated modeling of complementary FET (CFET) technology

12/10/2018  Synopsys, Inc. announced today another milestone in its longstanding partnership with imec.

SEMI launches new semiconductor manufacturing workforce development campaign

12/05/2018  The semiconductor manufacturing industry is fighting to attract, educate, and retain the necessary talent for its continued growth.

CEA-Leti moves 3D sequential integration closer to commercialization

12/04/2018  Leti, a research institute at CEA Tech, has reported breakthroughs in six 3D-sequential-integration process steps that previously were considered showstoppers in terms of manufacturability, reliability, performance or cost.

New quantum materials could take computing devices beyond the semiconductor era

12/03/2018  Mutliferroics are promising candidates for new type of memory and logic circuits.

CEA-Leti and Silvaco to develop gate-all-around SPICE compact models for circuit design and tech co-optimization

12/03/2018  Leti and Silvaco Inc. today announced during the IEDM 2018 conference a project to create innovative and unified SPICE compact models for the design of advanced circuits using nanowire and nanosheet technologies.

Micron President and CEO Sanjay Mehrotra elected chair of Semiconductor Industry Association

11/30/2018  Keith Jackson, President, CEO, and Director of ON Semiconductor, elected SIA Vice Chair.

MagnaChip to commence volume production of high-voltage IGBT products for power module

11/26/2018  MagnaChip Semiconductor announced that volume production has commenced for an IGBT product for power module targeted to high-voltage industrial applications.

Mentor adds DECA Technologies to growing Mentor OSAT Alliance for high density advanced package (HDAP) designs

11/20/2018  Mentor, a Siemens business, today announced that DECA Technologies has become the latest member of Mentor's (outsourced assembly and test) OSAT Alliance.

Toshiba Machine launches new die casting machines for southeast Asian market

11/19/2018  Toshiba Machine Co., Ltd. has developed the new DC-KT Series Die Casting Machines to meet the needs of the Southeast Asian market and has started sales and production at its plant in Thailand.

Solution for next generation nanochips comes out of thin air

11/19/2018  The secret ingredient for the next generation of more powerful electronics could be air, according to new research.

MEMS and sensors in autonomous and electric vehicles: Key takeaways from IHS Markit at MSEC

11/15/2018  IHS Markit’s Jérémie Bouchaud provided a closer look at and outlook for this key market at the MEMS and Sensors Executive Congress in late October in Napa. Following are key takeaways from his presentation.

MIRPHAB offering design, production and business planning for companies developing mid-infrared devices for chemical sensing and spectroscopic applications

11/14/2018  MIRPHAB, a European Commission project to create a pilot line to fabricate mid-infrared (MIR) sensors by 2020, is accepting proposals from companies that want to develop and prototype new MIR devices that operate in gas-and-liquid media.

Micron collaborates with premium German automaker to advance automotive memory technologies

11/14/2018  Micron Technology, Inc., (Nasdaq: MU) today announced at Electronica 2018 that it will collaborate with the BMW Group to further advance the development of automotive memory solutions used in vehicles.

IHP cooperates with EV Group on low-temperature covalent wafer bonding

11/12/2018  EVG ComBond enables wafer-level packaging and heterogeneous integration for advanced MEMS, high-performance logic, power and "Beyond CMOS" devices with micron-level alignment accuracy.

GLOBALFOUNDRIES, indie Semiconductor deliver performance-enhanced microcontrollers for automotive applications

11/12/2018  GLOBALFOUNDRIES and indie Semiconductor today announced the release of a new generation of customized microcontrollers on GF's 55nm Low Power Extended (55LPx) automotive-qualified platform, which includes embedded non-volatile memory (SuperFlash) technology.

Unlocking the secrets of metal-insulator transitions

11/09/2018  X-ray photon correlation spectroscopy at NSLS-II's CSX beamline used to understand electrical conductivity transitions in magnetite.

MRSI announces HVM3 die bonding demonstration capability in Shenzhen China

11/09/2018  MRSI Systems (Mycronic Group) announces new demonstration capability at its sister company, Shenzhen Axxon Automation (Mycronic Group) facility in the Longhua district, Shenzhen, China.

Semiconductor Research Corporation welcomes SK hynix to its acclaimed GRC and NST research programs

11/08/2018  SRC research focused on next-generation semiconductor technology continues to attract the world's leading semiconductor design and manufacturing companies.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts