Packaging

PACKAGING ARTICLES



Pinnacle Imaging Systems and ON Semiconductor collaborate on new HDR surveillance solution using Xilinx technology

11/07/2018  Pinnacle Imaging Systems and ON Semiconductor today jointly announced a new lower cost HDR video surveillance solution capable of capturing high contrast scenes (120 dB) with 1080p and 30 frames per second (fps) output.

SkyWater appoints Tom Legere as Senior Vice President of Operations

11/06/2018  SkyWater Technology Foundry today announced that Tom Legere has been appointed as Senior Vice President of Operations.

SEMI wins award for Nano-Bio Materials Consortium to grow digital health industry

11/05/2018  SEMI announced today that it has signed a new agreement with the U.S. Air Force Research Laboratory (AFRL) to expand the Nano-Bio Materials Consortium's (NBMC) work in advancing human monitoring technology innovations for telemedicine and digital health.

Renesas Electronics announces absorption-type merger with consolidated subsidiary

10/31/2018  Renesas Electronics Corporation today announced that it has resolved at the Meeting of Board of Directors held on October 31, 2018 to consolidate its wholly-owned subsidiary Renesas Semiconductor Package & Test Solutions Co, Ltd.

Innodisk bringing the next-generation NAND flash to the industrial embedded market

10/31/2018  Innodisk is launching its industrial-grade 3D NAND SSD series, making the newest NAND flash technology available for the challenging requirements of embedded and industrial applications.

pSemi announces frequency extension and volume production of the 55 GHz Digital Step Attenuator (DSA)

10/30/2018  This mmWave product is the world's first single-chip silicon-on-insulator (SOI) DSA to support the entire 9 kHz to 55 GHz frequency range.

Global semiconductor sales in September up 13.8% year-to-year

10/29/2018  Q3 sales are highest on record, 4.1 percent more than previous quarter, 13.8 percent higher than Q3 of last year.

SEMI shares industry feedback on RoHS review roadmap with European Commission

10/26/2018  SEMI recently shared industry feedback with the European Commission on the roadmap for reviewing RoHS (the Directive on the restriction of the use of certain hazardous substances in electrical and electronic equipment).

Cadence custom/AMS flow certified on Samsung 7LPP process technology

10/24/2018  Cadence Design Systems, Inc. today announced that its custom and analog/mixed-signal (AMS) IC design tools have achieved certification for Samsung Foundry’s 7nm Low Power Plus (7LPP) process technology.

Advanced packaging technologies are key for semiconductor innovation

10/24/2018  In the era of a slowing Moore's Law, advanced packaging has emerged as the savior of future semiconductor development.

North American semiconductor equipment industry posts September 2018 billings

10/24/2018  North America-based manufacturers of semiconductor equipment posted $2.09 billion in billings worldwide in September 2018 (three-month average basis), according to the September Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

Leti and Taiwanese National Applied Research Laboratories team up to strengthen microelectronics innovation in France and Taiwan

10/23/2018  Two leading French and Taiwanese research institutes today announced their new collaboration to facilitate a scientific and technological exchange between France and Taiwan.

U.S. announces trade talks with Japan, the EU, and UK; Action will benefit semiconductor industry

10/22/2018  Last week, the Office of the U.S. Trade Representative (USTR), on instruction from President Trump, notified Congress that the administration intends to begin bilateral trade negotiations with Japan, the European Union (EU), and the United Kingdom.

Silvaco appoints Babak Taheri as Chief Technology Officer

10/22/2018  Dr. Taheri will be taking Silvaco’s advanced positions in FinFET and beyond nodes, novel materials, emerging memory and advanced display technologies, to the next level.

Samsung debuts semiconductor innovations at Samsung Tech Day

10/19/2018  Technologies introduced at the event include 7nm LPP EUV, SmartSSD and 256GB 3DS RDIMM.

Perovskites: Materials of the future in optical communication

10/16/2018  High performance and stable all? Inorganic metal halide perovskite-based photodetectors for optical communication applications.

Gartner identifies the Top 10 strategic technology trends for 2019

10/15/2018  Analysts explore top industry trends at Gartner Symposium/ITxpo 2018, October 14-18 in Orlando.

MagnaChip introduces high-voltage super junction MOSFET

10/15/2018  MagnaChip Semiconductor Corporation, a designer and manufacturer of analog and mixed-signal semiconductor platform solutions, today announced the introduction of a new High-Voltage Super Junction MOSFET with a 900V breakdown voltage and low total gate charge.

Global semiconductor sales grow as the industry embraces IoT and blockchain technology

10/12/2018  Many semiconductor companies are beginning to embrace IoT to drive new revenue and growth models.

GLOBALFOUNDRIES expands RFwave Partner Program to speed time-to-market for wireless connectivity, radar and 5G

10/11/2018  GLOBALFOUNDRIES today announced the addition of nine new partners to its growing RFwave Partner Program.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts