Semiconductors

SEMICONDUCTORS ARTICLES



Synchronized plasma pulsing behind Applied’s AdvantEdge Mesa etch tool

07/12/2010 

Applied Materials etch VP Thorsten Lill explains how the company’s AdvantEdge Mesa etch tool, debuting at this year’s SEMICON West, uses synchronizing plasma pulsing to tackle problems inherent with advanced conductor etch.

Leading-edge demand spurs foundry sales spike -- but not capex

07/12/2010 

Renewed demand for consumer oriented electronics products requiring (generally speaking) more advanced semiconductor devices has caused iSuppli to upwardly adjust its two-month-old revenue forecast for pure-play semiconductor foundries.

Fraunhofer ISIT selects Rudolph for MEMS inspection

07/12/2010 

Rudolph Technologies, Inc. (NASDAQ: RTEC), provider of process characterization equipment and software for wafer fabs and advanced packaging facilities, announced that the Fraunhofer Institute for Silicon Technology (ISIT) in Germany has placed an order for an NSX® Series Macro Inspection System for advanced MEMS processing. The system will be installed this summer in the state-of-the-art 200mm MEMS pilot production line at ISIT.

UAT tripling wafer bumping at Ipoh, Malaysia

07/12/2010 

Unisem Advanced Technologies (UAT) is expanding wafer bumping capacity in its factory in Ipoh, Malaysia. The bumping facility’s floor space will be increased by 100% and the increased capacity will be three times that of UAT’s current bumping capacity.

SEMICON West Exhibits Preview

07/12/2010 

Following are some of the highlights of the SEMICON West exhibit halls, open July 13-15 at the Moscone Center in San Francisco, CA. Products on display include TSV technology, ALD systems, vacuum and wafer transport tools, and more for semiconductor and package manufacturing.

Real-time CMP monitoring tracks LPCs

07/12/2010 

 

With continued device geometry and new process steps comes increasing use and variety of CMP steps, and an increasing need to learn more about (and ultimately manage/eliminate) particles in the slurry that can wafer defects and yield losses. Enter Vantage Technology, which is debuting a new slurry particle measurement technology, SlurryScope (patented in the US and internationally), to provide undiluted real-time measurement of industry-standard production slurries.

Quartz dips to 7-year low, will double by 2013

07/09/2010 

In addition to market analysis, recent IP activity and supply chain issues,  “Quartz for Semicon-ductor Applications, A Critical Materials Report 2010,” includes profiles and updates for base material and crucible suppliers and an update on 450mm preparedness. The rate of implementation of 450mm wafer fabrication will impact the near-term prospects for the quartz industry.

Lasertec joins SEMATECH 3D packaging research, installs 300mm TSV IR etch metrology tool

07/08/2010 

Lasertec joined SEMATECH’s 3D Interconnect program to develop robust, cost-effective process metrology technology solutions for readying high-volume via-mid through silicon via (TSV) manufacturing. This article includes a video interview with SEMATECH about the partnership.

New method creates super-thin, high integrity, continuous metal lines that surpass semiconductor industry requirements

07/08/2010 

Scientists from Singapore A*STAR’s Institute of Materials Research and Engineering, University of Cambridge, and Sungkyunkwan University created thin metallic lines with line width roughness below the 2010 targets. The researchers used an organometallic material and a combination of electron beam lithography and subsequent gas treatment to easily chip away the organic portions in a uniform manner, leaving the desired metallic patterns.

SIA: Another month, another chip sales record

07/06/2010 

Anyone getting tired of hearing this? Global chip sales continue to climb for another month to yet another record high, and are on pace for a stellar ~30% annual growth rate, according to the latest data from the Semiconductor Industry Association (SIA).

Automated gap-measurement method improves thin-film production

07/02/2010 

There is often a direct relationship between PECVD chambers failing first-pass film checks and the gapping method used to examine the gap between a heater and gas showerhead. Craig Ramsey from CyberOptics Semiconductor describes an automated gap measurement method used in a 300mm fab's thin-film production that uses a wireless gapping device.

Improving etch performance using in situ gas flow monitoring and control

07/01/2010  Improve etch equipment performance through in situ gas flow monitoring and control. Mukund Venkatesh, et al, Pivotal Systems; Kevin Boyd, IBM.

DUV inspection and defect origin analysis for 22nm spacer self-aligned double-patterning

07/01/2010  Tracing defects from the lithography step through the SADP process flow to the spacer open step can significantly increase the capture rate of critical defects at the earlier steps. Ofir Montal, et al, Applied Materials Inc.

Where Have All the New Apps Gone?

07/01/2010  Pete Singer, Editor-in-Chief

Avoid throwing darts at a black hole by using diagnosis-driven yield analysis

07/01/2010  Layout-aware scan diagnosis combined with dedicated statistical analysis is an effective diagnosis-driven yield analysis flow. Geir Eide, Mentor Graphics Corp.

Etch pushes limits of physics and chemistry

07/01/2010  Richard A. Gottscho, Lam Research Corp.,

Technical debt in semiconductor equipment: it's time to pay it down

07/01/2010  This is a good time to take stock of your development readiness and how well legacy software assets will support the product roadmap. Dan O

Production metrology of advanced LED structures using high-resolution X-ray diffraction

07/01/2010 

The latest advances in HRXRD technology to allow true in-line monitoring, are described. Paul Ryan, et al, Jordan Valley Semiconductors UK Ltd.

Pyrolysis-electrochemical sensor for monitoring carbonyl sulfide levels in ambient air

07/01/2010  A pyrolyzer-electrochemical cell-based sensor can detect COS (as H2S) from tens of ppm up to 100ppm. Dan Chase, et al, Matheson Tri-Gas Inc.

Holistic substrate inspection for defects at the 32nm node and beyond

07/01/2010  A holistic strategy can help to find and correct process-induced defects. Philippe Gastaldo, Altatech Semiconductor S.A.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts