Semiconductors

SEMICONDUCTORS ARTICLES



NIL Technology releases new standard stamps for affordable nanoimprint lithography

12/10/2007  Following its release last year of a nickel standard stamp for cost-efficient nanoimprint lithography, NIL Technology has introduced stamps in quartz (fused silica) and silicon with features as small as 50 nm.

KLA-Tencor's WaferSight2 sees wafer nano-topo

12/10/2007  With lithography depth-of-focus specs pushing on other areas of technology, one area that has taken up the slack is the starting silicon wafer's planarity. Another area of concern is minimizing a nanometer scale surface change seen within the outer 5mm of a wafer, termed edge roll-off. Enter KLA-Tencor's upgraded WaferSight2 system, which the company says combines flatness and "nanotopography" in a single integrated tool to enable higher resolution, matching, and precision.

Intel at IEDM: 45nm HK+MG, variation mitigation, Moore's Law beyond 2015

12/10/2007  Intel execs revealed highlights from select papers the company will be presenting at IEDM, including some details about its 45nm HK+MG transistors that incorporate a redistribution layer as part of a 9-layer copper interconnect. Also featured is the company's success in mitigating process variation to the extent that results in variation at 45nm is comparable to that achieved at 130nm. Additionally, quantum well FETs may be ready at ~2015 to extend Moore's Law scaling.

Analyst: 2008 capex down 5%-13%, DRAM firms cinch belts

12/07/2007  December 7, 2008 - Chip manufacturers are getting serious about buckling down on their capital spending, as profits have just about reached their "pain threshold," and the resulting belt-tightening will "shake the foundation of the IC industry," according to analyst firm IC Insights.

Successful MEDEA+ collaboration to continue under CATRENE

12/07/2007  This year's MEDEA+ annual forum in Budapest, Hungary (Nov. 26-28) reviewed final projects for the eight-year pan-European collaborate program for microelectronics R&D, set to expire in 2008 after overseeing three generations of CMOS technology and making the European industry a world leader in such sectors as automotive electronics, smart card technology, and image sensing.

Test tool supplier Verigy buying time-to-yield firm Inovys

12/06/2007  December 6, 2007 - Verigy, a provider of memory and SoC test systems, has agreed to acquire privately held Inovys, which develops design, failure analysis, and yield software, for an undisclosed amount, a deal that enables the companies to better target "the crossroads of design, manufacturing and yield metrology."

XsunX: PV tools come first, then factory site

12/06/2007  December 6, 2007 - Citing an abundance of real-estate options to choose from, XsunX execs say they're prioritizing getting their tool orders ready before finalizing a deal for their first multimegawatt thin-film photovoltaic factory.

Reports: Hynix prepping 48nm NAND ramp

12/06/2007  December 6, 2007 - Hynix Semiconductor expects to start mass production of 48nm-based NAND flash in 1Q08, which would give it a brief headstart on rivals Samsung and Toshiba, according to local media reports.

Hitachi Chemical hiking CMP slurry output

12/06/2007  December 5, 2007 - Hitachi Chemical plans to boost its production capacity for CMP slurry by 50% to 15,000 tons/year by next June, its second upgrade in the past year, according to the Nikkei Business Daily.

EV Group, Brewer demo ultrathin wafer bonding platform

12/05/2007  December 5, 2007 - EV Group (EVG) and Brewer Science say they have demonstrated temporary wafer bonding capabilities for a wide range of backside processes, including through-silicon vias (TSVs) and backside metallization, using an approach optimized for high-temperature advanced packaging applications.

SEMATECH tweaks, updates "next-generation" fab programs

12/05/2007  December 5, 2007 - SEMATECH has laid out general info about its 300mm "next generation factory" program, describing new and updated projects within its efforts to help the industry find ways to lower costs and reduce cycle times for 300mm wafer manufacturing, and establish a "proving ground" for concepts relevant to 450mm wafer-size manufacturing.

TDK tips way to purge FOUP gases

12/05/2007  December 5, 2007 - TDK has developed a way to quickly expunge air inside 300mm wafer front-opening unified pods (FOUPs) when entering or leaving cleanrooms, which otherwise can oxidize and damage wafers and lower yields, notes the Nikkei Business Daily.

NXP sells off Crolles2 tools

12/05/2007  December 5, 2007 - NXP Semiconductors says it has sold off equipment from its participation in the Crolles2 partnership, mainly used for R&D and pilot manufacturing, to an undisclosed buyer for an undisclosed price. Ownership will be transferred in two stages over the next six months.

SEMI sees equipment slide in '08, high single-digit rebound in '09-10

12/05/2007  December 5, 2007 - Semiconductor equipment sales this year are turning out slightly better than expected, according to SEMI's year-end forecast update, but the outlook for 2008 now indicates the equipment sector's first year in the red since 2005.

EVG, Brewer Science claim wafer 'milestone'

12/05/2007  EV Group, which supplies wafer-bonding and lithography equipment for the MEMS and nanotech markets, and Brewer Science Inc., have claimed a "milestone" in handling and processing of ultrathin wafers.

TI's Templeton named SEMI's Inoue EHS recipient

12/04/2007  December 4, 2007 - Richard Templeton, president/CEO of Texas Instruments, has been awarded the 2007 Akira Inoue Award from SEMI, for his efforts and achievements in semiconductor industry environmental, health, and safety improvements.

EV Group and Brewer Science Demo New Ultrathin-Wafer Bonding Technology

12/04/2007  In their ongoing joint development work, EV Group (EVG) and Brewer Science Inc. unveiled they have demonstrated temporary wafer bonding capabilities for a wide range of backside processes, including through-silicon vias (TSVs) and backside metallization.

IMEC at IEDM: PVD for workfunction metals going forward?

12/04/2007  Researchers at IMEC gave WaferNEWS a preview of the group's papers to be presented at next week's IEDM 2007 conference in Washington, DC. Topics include PVD used for workfunction electrode metal in FinFETs; interactions between a capping layer, host dielectric, and workfunction metal that impacts threshold voltage; and using laser annealing in (gate-first) HK+MG devices to achieve gate length scaling with no loss in drive current.

SVTC, SEMATECH's ATDF merge to expand R&D capabilities

12/04/2007  December 4, 2007 - Months after significant reorgs on both sides, the SVTC (nee the Silicon Valley Technology Center) and SEMATECH's R&D foundry subsidiary Advanced Technology Development Facility (ATDF) in Austin, TX, are merging to expand their ability to offer an alternative to dedicated R&D fabs or shared development/production operations, months after both sides took steps earlier this year to reorganize and expand.

Interview: Chinese tool firm AMEC tips equipment, strategy

12/04/2007  Gerald Yin, CEO and co-founder of equipment startup Advanced Micro-Fabrication Equipment Inc. (AMEC), discusses his company's foray into thin-film deposition and etch tools for leading-edge IC manufacturing, and the business strategy behind forming as a global semiconductor OEM based in China.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts