Semiconductors

SEMICONDUCTORS ARTICLES



FASL boosting Flash capacity

12/10/2003  December 10, 2003 - -- FASL LLC, Sunnyvale, CA, plans to ramp manufacturing capacity for Spansion Flash memory products in 2004 to meet demand from AMD and Fujitsu for wireless products.

IMEC, Sematech, TI join high-k club

12/10/2003  December 10, 2003 - The past week has been busy for high-k materials, with three separate announcements from companies and organizations detailing the progress of their research.

ADE adds inspection capabilities

12/10/2003  December 10, 2003 - -- ADE Corp., Westwood, MA, has introduced new tools for inspecting the front, backside, and edges of wafers.

TI, Swiss institute envision hybrid chip

12/10/2003  Texas Instruments Inc. is working with the Swiss Federal Institute of Technology at Lausanne on a chip combining standard semiconductor and single-electron transistors (SET) that could shrink the size and power consumption of computing devices, according to a news release.

IBM's directed self-assembly one facet in broad nanotech program

12/09/2003  Chuck Black and Kathryn Guarini demonstrated their nanotech breakthrough with strands of pipe-cleaner wire. In a preview of today's announcement, the two IBM researchers explained how they coaxed two different polymers – stringy molecules they represented with different-colored pipe cleaners – to assemble themselves into a honeycombed template of 20-nanometer holes.

EV Group, AMO ink cooperation agreement

12/05/2003  (December 5, 2003) Schärding, Austria—EV Group (EVG), a MEMS, nano and semiconductor wafer processing equipment manufacturer, has entered into a cooperation agreement with AMO GmbH (AMO), on advanced ultraviolet-nanoimprint lithography (UV-NIL).

Toshiba, SanDisk to build NAND plant

12/04/2003  December 4, 2003 - Toshiba Corp. and SanDisk reportedly plan to build a facility for producing NAND flash memory chips by 2005

SEMI survey: Equipment makers expect a "robust" 2004

12/04/2003  December 3, 2003 - Semiconductor equipment manufacturers expect 8% sales growth this year to $21.4 billion -- double the increase they predicted just a few months ago -- and nearly 40% growth in 2004, according to the year-end edition of the SEMI Capital Equipment Consensus Forecast.

Nikon sets sights on immersion litho

12/04/2003  December 2, 2003 - Nikon Corp., Belmont, CA, has unveiled plans to introduce ArF immersion lithography equipment, based on its NSR-S307E 193nm lithography tool.

Chartered: 4Q outlook rosy

12/04/2003  December 1, 2003 - Singapore's Chartered Semiconductor Manufacturing Co. has raised its outlook for 4Q03, thanks to increased demand from communications customers.

Japanese equipment orders continue to impress, while sales regress

12/04/2003  December 1, 2003 - Global orders in October of Japanese semiconductor equipment soared above 100 million yen for the third consecutive month, and are at their highest levels in nearly three years, according to the latest figures from the Semiconductor Equipment Association of Japan (SEAJ).

Toshiba to boost memory line

12/04/2003  November 26, 2003 - Toshiba Corp. reportedly plans to invest up to 12 billion yen to boost output at its facilities in Oita, Japan.

ASML inks another litho deal

12/04/2003  November 25, 2003 - A week after announcing a similar partnership with Dainippon Screen, ASML NV, Veldhoven, The Netherlands, has signed a deal with Tokyo Electron Ltd. to link the companies' lithography and track systems.

Sematech qualifies low-k material

12/04/2003  November 28, 2003 - International Sematech, Austin, TX, says it has qualified an ultra-low-k material for dual damascene copper processing at 0.13-micron features, using 193nm lithography on 300mm wafers.

Sematech forms manufacturing group

12/04/2003  November 28, 2003 - International Sematech, Austin, TX, plans to form a new consortium of fabs and chipmakers to focus on manufacturing infrastructure, methods, standards, and productivity.

KLA-Tencor, Soitec work on 90nm, 65nm SOI

12/04/2003  November 25, 2003 - KLA-Tencor, San Jose, CA, and Soitec, Grenoble, France, are forming a joint program to improve the quality and yield of, and production costs for, silicon-on-insulator (SOI) wafers.

AMAT introduces first automated in-line SEM/FIB system

12/04/2003  November 28, 2003 - Applied Materials recently introduced the SEMVision G2 FIB defect analysis system, touted as the first in-line production tool to integrate several technologies into one system: advanced defect-review scanning electron microscope (SEM), automated focused ion beam (FIB) cross-sectioning, and EDX energy dispersive x-ray analysis capabilities.

Japan institute licenses wafer technology

12/04/2003  December 4, 2003 - Japan's Super Silicon Crystal Research Institute Corp. has licensed its wafer processing equipment to South Korea's Tera Semicon Corp. and Japan's Shinkugiken Co.

AMD offers 4Q guidance, stakes 300mm territory

12/04/2003  November 17, 2003 - At its annual analyst meeting, AMD, Sunnyvale, CA, said it expects to report higher 4Q sales for both chips and flash memory, thanks to increased consumer and corporate spending.

ASML, Dainippon Screen sign litho deal

12/04/2003  November 21, 2003 - ASML NV, Veldhoven, The Netherlands, and Dainippon Screen Manufacturing Co. Ltd., Kyoto, Japan, have agreed to co-develop methods for linking their track and lithography systems.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts