Tag Archives: letter-semi-top

Solid State Technology and SEMI today announced the recipient of the 2017 “Best of West” Award — Microtronic Inc.— for its EAGLEview 5. The award recognizes important product and technology developments in the electronics manufacturing supply chain. Held in conjunction with SEMICON West, the largest and most influential electronics manufacturing exposition in North America, the Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Microtronic’s EAGLEview 5 Macro Defect Management Platform is the new, yield-enhancing, breakthrough macro defect inspection platform that was developed ─ and deployed in production ─ through collaboration with several leading device manufacturers who wanted to standardize and unify wafer defect management throughout their fab. Innovations include: dramatically improved defect detection; level-specific sorting; and integration with manual microscopes. (Process Control, Metrology and Test Category; North Hall Booth #5467)

EAGLEview 5

By Pete Singer

At a SEMICON West press conference yesterday, SEMI released its Mid-year Forecast. Worldwide sales of new semiconductor manufacturing equipment are projected to increase 19.8 percent to total $49.4 billion in 2017, marking the first time that the semiconductor equipment market has exceeded the market high of $47.7 billion set in 2000. In 2018, 7.7 percent growth is expected, resulting in another record-breaking year ─ totaling $53.2 billion for the global semiconductor equipment market.

Figure 1 copy

“It’s really an exciting time for the industry in the terms of technology, the growth in information and data and that’s all going to require semiconductors to enable that growth,” said Dan Tracy, senior director, IR&S at SEMI.

The average of various analysts forecast the semiconductor industry in general 12% growth for the year. “It’s a very good growth year for the industry,” Tracy said. “In January, the consensus was about 5% growth for the year and with the improvement in the market and the firmer pricing for memory we see an increase in the outlook for the market.”

The SEMI Mid-year Forecast predicts wafer processing equipment is anticipated to increase 21.7 percent in 2017 to total $39.8 billion. The other front-end segment, which consists of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, will increase 25.6 percent to total $2.3 billion. The assembly and packaging equipment segment is projected to grow by 12.8 percent to $3.4 billion in 2017 while semiconductor test equipment is forecast to increase by 6.4 percent, to a total of $3.9 billion this year.

“Based on the May outlook, we are looking at a record year in terms of tracking equipment spending. This is for new equipment, used equipment, and spending related to the facility that installed the equipment. It will be about a $49 billion market this year. Next year, it’s going to grow to $54 billion, so we have two years in a row of back to back record spending,” Tracy said.

In 2017, South Korea will be the largest equipment market for the first time. After maintaining the top spot for five years, Taiwan will place second, while China will come in third. All regions tracked will experience growth, with the exception of Rest of World (primarily Southeast Asia). South Korea will lead in growth with 68.7 percent, followed by Europe at 58.6 percent, and North America at 16.3 percent.

SEMI forecasts that in 2018, equipment sales in China will climb the most, 61.4 percent, to a total of $11.0 billion, following 5.9 percent growth in 2017. In 2018, South Korea, Taiwan, and China are forecast to remain the top three markets, with South Korea maintaining the top spot to total $13.4 billion. China is forecasted to become the second largest market at $11.0 billion, while equipment sales to Taiwan are expected to reach $10.9 billion.

Figure 2

By Dave Lammers

Keynote speakers Terry Higashi of Tokyo Electron Ltd. and Tom Caulfield of GlobalFoundries took the stage at the Yerba Buena Theater Tuesday morning to predict major changes in the goals and operations of the semiconductor industry.

higashi2013_11_600px_0 ThomasCaufieldSized

In many ways, 2017 has been marked by intense interest in the capabilities of neural networks and other forms of artificial intelligence (AI). Higashi, now a corporate director at TEL, predicted that AI and virtual reality are among the applications that will propel demand for semiconductors “almost without limit.” Neuromorphic processors, the veteran TEL executive said, “are one of the promising devices to enhance human creativity. They will be improved step by step, just as logic and memory devices were improved.”

Looking toward a future in which AI and human skills combine to resolve problems, Higashi predicted that today’s Von Neumann-based architectures and neuromorphic device will complement each other. “Artificial intelligence solutions will be proposed, and the challenges and problems will be solved by scientists and engineers. The combination of Von Neumann and neuromorphic computing gets us closer to true intelligence,” he said.

AI also will play a role in enhancing the immersive experiences promised by virtual reality, experiences which visionaries have predicted but which thus far mankind “has never fully experienced.”

Higashi said that by combining VR and AI, “we can attain a suspension of disbelief, and simply enjoy the experience. If we can provide the technologies, consumers will experience excitement and a form of happiness.”

Caulfield, the general manager of the Malta fab near Albany, agreed with Higashi’s assessment that that the semiconductor industry is seeing “new buds” that will bloom into large semiconductor markets.

However, Caulfield said that to achieve anything like the rate of technological progress seen over the first half century of the semiconductor industry, companies and customers will have to take collaboration to new levels. And he offered the collaboration between GlobalFoundries and AMD as an example.

“Collaboration, potentially, is the biggest thing we need to do. We need strategic partnerships, and not only among semiconductor manufacturers but also with equipment suppliers.”

At its Malta fab, GlobalFoundries builds all of AMD’s leading-edge discrete graphics engines and CPUs. “The AMD and GlobalFoundries engineering teams are so embedded with each other, one can hardly tell” which company an engineer works for, he said.

Noting the resurgence of AMD, Caulfield said “we are all proud to be part of that partnership.” And he pointed to another collaboration, between Samsung and GlobalFoundries, which allows customers to take the same 14nm design and choose whether to manufacture it at Samsung’s Austin fab or at Malta. “Customers can run photomasks in Austin or in Malta, New York and have the product look the same,” he said.

Government role

In such a collaboration-rich business environment, governments also have a role to play, Caulfield said.

“Public-private investments must imply a return to governments as well as to companies. Otherwise, they send the wrong message.” By investing several billion dollars in the Malta fab, GlobalFoundries and the state of New York put to work the well-educated young people who otherwise would have left the state in search of technology jobs. When Malta began operations, only 20 percent of the staff were educated in New York. Now, fully half of the workforce has benefited from a New York education.

“We were exporting talent. Now, the workforce has great opportunity within the state,” he said.

Both Higashi and Caulfield said major challenges face the industry. Higashi noted that innovation will be required to keep flash memory costs under control. “As data is captured by sensors and is transferred via the appropriate networks and stored in data centers, demand for NAND will be high. We must make huge efforts to reduce the overall cost, as the semiconductor industry is expected to provide enough volumes to support the Internet of Things.”

Caulfield said the performance of logic transistors has struggled to keep pace, even as density increases have continued. When the industry moved from 28nm to 14nm technologies, performance increased by fully 50 percent. But from 14nm to 10nm, speeds improved by about 18 percent, making shrinks primarily a cost improvement.

With the industry now focused on brining 7nm logic to the market, the question arises whether 5nm CMOS will provide enough performance to justify that node. While the jury on technology scaling is still out, Caulfield said the industry may have to move to gate all around (GAA) structures, or to non-silicon channel materials, in order to gain the kinds of performance improvements that customers expect from a new node.

Higashi said systems must get faster. “Real-time processing is crucial in the cyber world. And with robotic hands, there should be no delays in physical operations.”

“Memory, logic, and sensing make it possible for AI systems to solve problems much faster than a team of geniuses. We are now in a new era, one of super integration. In addition to improved specialty devices – based on logic, memory, and sensors – we must take these separate devices and put them together into fully integrated systems. It is time to make a pizza, with some of the best ingredients,” he said.

9:05 am – 9:20 am
Special Guest: James. C. Morgan
Jim talks about his new book, Applied Wisdom
Yerba Buena Theater

9:35 am – 10:05 am
Big Data in Autonomous Driving
Katherine S. Winter, Intel
Yerba Buena Theater

10:30 am – 12:30 pm
Enabling the IoT
Innovative Technologies to Advance the Connected World
Meet the Expert Theater, Moscone West

12:30 am –2:00 pm
Smart Automotive 1
The Future of Smart & Connected Self-driving Cars
Moscone North, TechXPOT North

2:00 pm – 3:00 pm
Executive Panel
Meeting the Challenges of the 4th Industrial Revolution along the Microelectronics Supply Chain
Yerba Buena Theater

2:00 pm – 5:00 pm
Advanced Packaging Technologies Enabling Advanced Applications
Moscone West, TechXPOT West

3:00 pm – 4:30 pm
Smart Manufacturing
Machine learning in design, inspection, process modeling and decision making
Meet the Expert Theater, Moscone West

9:05 am – 9:35 am
KEYNOTE: The Semiconductor Industry: Changed and Unchanged
Tetsuro Higashi, TEL
Yerba Buena Theater

9:35 am – 10:05 am
KEYNOTE: Accelerating Innovation: Intelligent is the New Smart
Thomas Caulfield, GLOBALFOUNDRIES

10:30 am – 4:00 pm
World of IoT
Understanding Risks and Opportunities
San Francisco Marriott Marquis

10:30 am – 12:45 pm
What’s next for MEMS & Sensors
Big Growth of Disruptive Applications
Moscone Morth, TechXPOT North

2:00 pm – 5:00 pm
China Strategic Innovation & Investment Forum
The Rise of the China IC Industry
Yerba Buena Theater

3:00 pm – 4:30 pm
Advanced Packaging
Meet the Experts, Day 1
Meet the Expert Theater, Moscone West

5:00 pm – 10:00 pm
Summerfest at AT&T Park
Hors d’oeuvres, beverages and MLB All-Star Game

5:00pm – 9:00pm
Leti Workshop
W Hotel – 181 3rd St., San Francisco

By Pete Singer

Luc Van den Hove, president and CEO of imec

Luc Van den Hove, president and CEO of imec

Speaking at imec’s International Technology Forum USA yesterday afternoon at the Marriott Marquis, Luc Van den Hove, president and CEO of imec, provided a glimpse of society’s future and explained how semiconductor technology will play a key role. From everything the IoT to early diagnosis of cancer through cell sorters, liquid biopsies and high-performance sequencing, technology will enable “endless complexity increase,” he said.

Other developments, almost all of which are being worked on at imec, include self-learning neuromorphic chips, brain implants, artificial intelligence, 5G, IoT and sensors, augmented and virtual reality, high resolution (5000 ppi) OLED displays, EOG based eye tracking and haptic feedback devices. He also acknowledged the critical importance of security issues, but suggested a solution. He noted that each chip has its own fingerprint due to nanoscale variability. That’s been a problem for the industry but we could “turn this limitation into an advantage,” he said, with an approach called PUFs — Physical Unclonable Functions (Figure 1).

Figure 1. Nanoscale variability has been a problem for the industry but we could be turned into an advantage with PUFs -- Physical Unclonable Functions.

Figure 1. Nanoscale variability has been a problem for the industry but we could be turned into an advantage with PUFs — Physical Unclonable Functions.

At the forum, imec also announced that its researchers, in collaboration with scientists from KU Leuven in Belgium and Pisa University in Italy, have performed the first material-device-circuit level co-optimization of field-effect transistors (FETs) based on 2D materials for high-performance logic applications scaled beyond the 10nm technology node. Imec also presented novel designs that would allow using mono-layer 2D materials to enable Moore’s law even below 5nm gate length. Additionally, imec announced that it demonstrated an electrically functional 5nm solution for Back-End-of-Line interconnects.

FETs based on 2D materials

2D materials, a family of materials that form two-dimensional crystals, may be used to create the ultimate transistor with a channel thickness down to the level of single atoms and gate length of few nanometers. A key driver that allowed the industry to follow Moore’s Law and continue producing ever more powerful chips was the continued scaling of the gate length. To counter the resulting negative short-channel effects, chip manufacturers have already moved from planar transistors to FinFETs. They are now introducing other transistor architectures such as nanowire FETs. The work reported by imec looks further, replacing the transistor channel material, with 2D materials as some of the prime candidates.

Figure 2. 2D materials, with the atomically-precise dimension control they enable, promise to become key materials for future innovations.

Figure 2. 2D materials, with the atomically-precise dimension control they enable, promise to become key materials for future innovations.

In a paper published in Scientific Reports, the imec scientists and their colleagues presented guidelines on how to choose materials, design the devices and optimize performance to arrive at circuits that meet the requirements for sub-10nm high-performance logic chips. Their findings demonstrate the need to use 2D materials with anisotropicity and a smaller effective mass in the transport direction. Using one such material, monolayer black-phosphorus, the researchers presented novel device designs that pave the way to even further extend Moore’s law into the sub-5nm gate length. These designs reveal that for sub-5nm gate lengths, 2D electrostatics arising from gate stack design become more of a challenge than direct source-to-drain tunneling. These results are very encouraging, because in the case of 3D semiconductors, such as Si, scaling gate length so aggressively is practically impossible.

“2D materials, with the atomically-precise dimension control they enable, promise to become key materials for future innovations. With advancing R&D, we see opportunities emerging in domains such as photonics, optoelectronics, (bio)sensing, energy storage, photovoltaics, and also transistor scaling. Many of these concepts have already been demonstrated in the labs,” says Iuliana Radu, distinguished member of technical staff at imec. “Our latest results presented in Scientific Reports, show how 2D materials could be used to scale FETs for very advanced technology nodes.”

5nm Solution for BEOL

The announced electrically functional solution for 5nm back-end-of-line (BEOL) is a full dual-damascene module in combination with multi-patterning and multi-blocking. Scaling boosters and aggressive design rules pave the way to even smaller dimensions.

As R&D progresses towards the 5nm technology node, the tiny Cu wiring schemes in the chips’ BEOL are becoming more complex and compact. Shrinking the dimensions also reduces the wires cross-sectional area, driving up the resistance-capacitance product (RC) of the interconnect systems and thus increasing signal delay. To overcome the RC delay challenge and enable further improvements in interconnect performance, imec explores new materials, process modules and design solutions for future chip generations.

One viable option is to extend the Cu-based dual-damascene technology – the current workhorse process flow for interconnects – into the next technology nodes. Imec has demonstrated that the 5nm BEOL can be realized with a full dual-damascene module using multi-patterning solutions. With this flow, trenches are created with critical dimensions of 12nm at 16nm. Metal-cuts (or blocks) perpendicular to the trenches are added in order to create electrically functional lines and then the trenches are filled with metal. Area scaling is further pushed through the introduction of fully self-aligned vias. Moreover, aggressive design rules are explored to better control the variability of the metal tip-to-tips (T2Ts).

Figure 3. Dense-pitch blocks enabled by a dual damascene flow and multi-patterning. The pattern is etched into the low-k and metallized.

Figure 3. Dense-pitch blocks enabled by a dual damascene flow and multi-patterning. The pattern is etched into the low-k and metallized.

Beyond 5nm, imec is exploring alternative metals that can potentially replace Cu as a conductor. Among the candidates identified, low-resistive Ruthenium (Ru) demonstrated great promise. The imec team has realized Ru nanowires in scaled dimensions, with 58nm2 cross-sectional area, exhibiting a low resistivity, robust wafer-level reliability, and oxidation resistance – eliminating the need for a diffusion barrier.

“The emergence of RC delay issues started several technology nodes ago, and has become increasingly more challenging at each node. Through innovations in materials and process schemes, new BEOL architectures and system/technology co-optimization, we can overcome this challenge as far as the 5nm node”, said Zsolt Tokei, imec’s director of the nano-interconnect program. “Imec and its partners have shown attainable options for high density area scaled logic blocks for future nodes, which will drive the supplier community for future needs.”

For the longer term, imec is investigating different options including but not limited to alternative metals, insertion of self-assembled monolayers or alternative signaling techniques such as low-energy spin-wave propagation in magnetic waveguides, exploiting the electron’s spin to transport the signal. For example, the researchers have experimentally shown that spin waves can travel over several micrometers, the distance required by short and medium interconnects in equivalent spintronic circuits.

Each year at SEMICON West, the “Best of West” awards are presented by Solid State Technology and SEMI. More than 26,000 professionals from the electronics manufacturing supply chain attend SEMICON West and the co-located Intersolar. The “Best of West” award was established to recognize new products moving the industry forward with technological developments in the electronics supply chain.

Selected from over 600 exhibitors, SEMI announced today that the following Best of West 2017 Finalists will be displaying their products on the show floor at Moscone Center from July 11-13:

  • Mentor, a Siemens Business: Tessent® Cell-Aware Diagnosis – With FinFETs in high volume, finding systematic yield issues at the transistor level is important. The Tessent Cell-Aware Diagnosis technology significantly improves diagnosis of defects beyond the inter-connect and inside the logic cells. (Process Control, Metrology and Test Category; North Hall Booth #6661)
  • Microtronic Inc.: EAGLEview 5 Macro Defect Management Platform – EagleView 5 is the new, yield-enhancing, breakthrough macro defect inspection platform that was developed – and deployed in production — through collaboration with several leading device manufacturers who wanted to standardize and unify wafer defect management throughout their fab. Innovations include: dramatically improved defect detection; level-specific sorting; and integration with manual microscopes. (Process Control, Metrology and Test Category; North Hall Booth #5467)
  • SPTS Technologies Ltd: SentinelTM End-Point Detection System for Plasma Dicing after Grind – The Sentinel™ End-Point Detection System improves the control of plasma dicing processes and protects taped wafers for improved yields.  In addition to signaling exposure of the tape, Sentinel™ also detects loss of active cooling during the process to enable intervention to prevent yield loss. (Process Control, Metrology and Test Category; West Hall Booth #7617)
  • TEL: Stratus P500 – The Stratus P500 system electroplates panel substrates with wafer level processing precision.  As redistribution layers (RDL) reduce to widths below 10 µm line/space, and package sizes increase, conventional plating systems are challenged to meet system-on-package requirements. The P500 makes panel scale fine line RDL and feature filling applications possible. (Assembly/Packaging Solutions Category; North Hall Booth #6168)

Congratulations to each of the Finalists. The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 12, 2017.

9:30 am –10:15 am
CLOSING KEYNOTE: Internet of Things in Smart Manufacturing
Atul Mahamuni, Vice President, Internet of Things, Oracle
Keynote Stage

10:00 pm – 3:00pm
University Day: Future U
Exploring Careers in Microelectronics
Rm 304, Esplanade

10:30 am – 4:00 pm
Smart Manufacturing
Keynote Stage

10:30 am – 12:30 pm
Sensing the Future: Enabling Applications for a Smarter World
TechXPOT North

10:30 am –12:50 pm
3D Printing: A New Dimension in Manufacturing
TechXPOT South

1:45 pm – 4:00 pm
IoT Startups and Hackathon Showcase

2:00 pm – 3:00 pm
Best of West Showcase
Innovation & IoT Theater

By Pete Singer, Editor-in-Chief

On Wednesday, Solid State Technology and SEMI announced the recipient of the 2016 “Best of West” Award — Coventor — for its SEMulator3D. The award recognizes important product and technology developments in the electronics manufacturing supply chain. The Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Coventor won the “Best-of-West” award for its SEMulator 3D modeling software. Left to right, SEMI’s Karen Savala, Dinesh Bettadapur, vice president, business development at Coventor, who received the award, and Pete Singer, Editor-in-Chief of Solid State Technology.

Coventor won the “Best-of-West” award for its SEMulator 3D modeling software. Left to right, SEMI’s Karen Savala, Dinesh Bettadapur, vice president, business development at Coventor, who received the award, and Pete Singer, Editor-in-Chief of Solid State Technology.

Coventor’s SEMulator3D is a 3D semiconductor process modeling platform that can predictively model any fabrication process applied to any semiconductor design. Starting from a “virtual” silicon wafer, the product performs a series of unit processes like those in the fab to create highly accurate 3D computer models of the predicted structures on wafer.

“It’s a very powerful software modeling platform that has been widely adopted for advanced process development and integration for 10nm, 7nm nodes and beyond,” said Dinesh Bettadapur, vice president, business development at Coventor. Bettadapur accepted the award in the Coventor booth, presented by Solid State Technology’s Pete Singer and SEMI’s Karen Savala.

Bettadapur noted that advanced devices are increasingly becoming 3D, whether it’s finFET structures, 3D NAND or gate-all-around. “We enable you to both visualize the device you’re trying to build in advance without running a single wafer, and also accurately predict process variations,” he said.

Using unique physics-driven 3D modeling technology, the SEMulator3D modeling engine can model a wide variety of unit process steps. Each process step requires only a few geometric and physical input parameters that are easy to understand and calibrate. Just as in an actual fab, upstream unit process parameters (such as deposition conformality, etch anisotropy, selectivity, etc.) interact with each other and design data in a complex way to impact the final device structure.

“You can analyze any process variation, whether it’s film thicknesses, sidewall angles, etch depths, litho biases and so forth. You can vary any process parameter that you have entered in our process simulator and then look at the upstream and downstream process effects,” Bettadapur said.

Starting from input design data, SEMulator3D follows an integrated process flow description to create the virtual equivalent of the complex 3D structures created in the fab. Because the full integrated process sequence is modeled, SEMulator3D has the ability to predict downstream ramifications of process changes that would otherwise require build-and-test cycles in the fab.

On display at Coventor’s booth is 3D sculpture modeled on 14nm FinFET Technology (see photo). This piece received the grand prize at the Design Automation Conference (DAC) last month.

The piece was produced on a state-of-the-art 3D printer from Stratasys, using SEMulator3D to generate the data. The effort was supported by GrabCad, a digital manufacturing hub that helps designers and engineers build great products faster.

With SEMulator3D, Coventor created a large model of 14nm FinFET transistors, across a wide area of SRAM design, at high resolution, integrated from starting wafer through Metal 3, with some artistic cut-outs for visibility.   The resulting model reinforced all the key advanced capabilities of SEMulator3D, including multietch, visibility-limited deposition, selective epitaxy and many others.

As DAC grand prize winner, the 14nm FinFET 3D Sculpture will now be moved to the Computer History Museum in Mountain View, CA where it will be on display for one year.

Hear more about the SEMulator 3D and all of the Best of West finalists today at the Best of West Showcase in the Advanced Manufacturing Forum at TechXPOT South from 2:00pm-3:30pm.

SEMulator3D Viewer, showing a hypothetical 22nm FinFET SRAM cell

SEMulator3D Viewer, showing a hypothetical 22nm FinFET SRAM cell

9:00 am – 10:00 am
“CONNECT” Executive Summit
SEMI’s Denny McGuirk moderates a panel of execs from Lam, Qualcomm, Intel and Entegris
Keynote Stage

9:00 am – 3:00 pm
Women in Technology Forum
Room 304, Esplanade

12:30 am –2:00 pm
The Business Case for Supplier Diversity: Why it Matters to You
Intel presentation and panel discussion
Rm 308, Esplanade

1:00 pm – 5:00 pm
From Collision to Convergence: Co-creating Soutions in the Semiconductor and MEMS/Sensors Industries
San Francisco Marriott Marquis

2:00 pm – 4:00 pm
World of IoT Innovation
Innovation and IoT Theater

3:00 pm –4:30 pm
Bulls & Bears Panel
W Hotel