Category Archives: Metrology

January 27, 2011CAMECA unveiled the latest addition to its line of high-end metrology systems: the EX-300 metrology tool targeted for front-end process control of 22nm technology nodes and beyond.

Click to EnlargeThe EX-300 is a versatile metrology tool based on LEXES (Low-energy Electron induced X-ray Emission Spectrometry) technology, notes Dr. Michel Schuhmacher, CAMECA VP and CTO. The tool suits semiconductor fabs integrating new challenging processes, adds Dr. Schuhmacher, offering capabilities for front-end compositional control at and near the surface. The instrument targets front-end process control for 22nm technology nodes and performs metrology of patterned wafers down to 30 × 30µm.

LEXES is a surface probing technique pioneered by CAMECA.  The technology is now well-established for addressing challenges in elemental composition, thickness determination and dopant dosimetry.

CAMECA optimized the performance of the EX-300 for challenging high-k metal gate (HKMG), epitaxial layers such as boron in silicon germanium (B:SiGe) and shallow implants, fulfilling requirements of both rapid device development and high-yield mass production. In addition, the instrument is designed to deliver enhanced long-term stability and minimize mean time to repair (MTTR).

CAMECA designs, manufactures and services scientific instruments for material micro- and nano-analysis. CAMECA is now a unit of AMETEK’s Materials Analysis Division.  Learn more at http://www.cameca.com

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

 

(December 17, 2010 – BUSINESS WIRE) — Nanometrics Incorporated (Nasdaq: NANO), advanced process control metrology systems provider to semiconductor, high-brightness LED, data storage, and solar photovoltaics fabricators, announced that a leading semiconductor foundry has ordered a UniFire 7900 metrology system for advanced 3D wafer-scale packaging process control. This initial system will be delivered in the fourth quarter of 2010 to enable the foundry’s transition from development to high-volume manufacturing in 2011.

"The UniFire is an enabling metrology system in the rapidly growing wafer-scale packaging segment and has been adopted by multiple customers for through-silicon-via (TSV) process control, with measurements including critical dimensions (CD), depth and topography. The deployment of advanced packaging technologies such as TSV and micro-bump formation will enable cost and performance advantages for next-generation devices. These emerging applications provide growth opportunities for Nanometrics, with new manufacturing process steps requiring additional optical metrology solutions," commented Dr. Michael Darwin, vice president of the UniFire and Materials Characterization groups at Nanometrics.

"This win is indicative of our strategy to grow the company through acquisitions of leading-edge products and technologies that expand our business into emerging and high-growth market segments," commented Tim Stultz, president and chief executive officer of Nanometrics. "The UniFire enables us to offer new and enabling technology to our established logic and memory customers while increasing our penetration of leading foundry customers. As we look forward, the incremental market opportunity for advanced wafer-scale packaging process meaningfully expands our served markets and business growth outlook."

The UniFire has been put into production for both front-end-of-line (FEOL) and back-end-of-line (BEOL) semiconductor and magnetic device manufacturing processes, and for applications including advanced packaging, lithography, etch, chemical mechanical polishing (CMP) and thin film deposition. The UniFire is capable of high precision measurement of two-dimensional and three-dimensional structures for depth, CD, profile, and film thickness control for advanced device manufacturing processes.

Nanometrics is a provider of advanced, high-performance process control metrology systems used primarily in the fabrication of semiconductors, high-brightness LEDs, data storage devices and solar photovoltaics. Nanometrics’ website is http://www.nanometrics.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Advanced Packaging on Twitter.com by clicking www.twitter.com/advpackaging. Or join our Facebook group

(December 17, 2010 – BUSINESS WIRE) — Nanometrics Incorporated (Nasdaq: NANO), advanced process control metrology systems provider to semiconductor, high-brightness LED, data storage, and solar photovoltaics fabricators, announced that a leading semiconductor foundry has ordered a UniFire 7900 metrology system for advanced 3D wafer-scale packaging process control. This initial system will be delivered in the fourth quarter of 2010 to enable the foundry’s transition from development to high-volume manufacturing in 2011.

"The UniFire is an enabling metrology system in the rapidly growing wafer-scale packaging segment and has been adopted by multiple customers for through-silicon-via (TSV) process control, with measurements including critical dimensions (CD), depth and topography. The deployment of advanced packaging technologies such as TSV and micro-bump formation will enable cost and performance advantages for next-generation devices. These emerging applications provide growth opportunities for Nanometrics, with new manufacturing process steps requiring additional optical metrology solutions," commented Dr. Michael Darwin, vice president of the UniFire and Materials Characterization groups at Nanometrics.

"This win is indicative of our strategy to grow the company through acquisitions of leading-edge products and technologies that expand our business into emerging and high-growth market segments," commented Tim Stultz, president and chief executive officer of Nanometrics. "The UniFire enables us to offer new and enabling technology to our established logic and memory customers while increasing our penetration of leading foundry customers. As we look forward, the incremental market opportunity for advanced wafer-scale packaging process meaningfully expands our served markets and business growth outlook."

The UniFire has been put into production for both front-end-of-line (FEOL) and back-end-of-line (BEOL) semiconductor and magnetic device manufacturing processes, and for applications including advanced packaging, lithography, etch, chemical mechanical polishing (CMP) and thin film deposition. The UniFire is capable of high precision measurement of two-dimensional and three-dimensional structures for depth, CD, profile, and film thickness control for advanced device manufacturing processes.

Nanometrics is a provider of advanced, high-performance process control metrology systems used primarily in the fabrication of semiconductors, high-brightness LEDs, data storage devices and solar photovoltaics. Nanometrics’ website is http://www.nanometrics.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Advanced Packaging on Twitter.com by clicking www.twitter.com/advpackaging. Or join our Facebook group

December 14, 2010 – SEMATECH, the SIA, and SRC have established a new 3D Enablement program targeting standards in inspection, metrology, microbumping, bonding, and thin wafer and die handling.

The project, to be administrated by SEMATECH’s 3D Interconnect program out of the U. of Albany’s College of Nanoscale Science and Engineering (CNSE) and involving a group of existing member companies, aims to solve a key problem in 3D ICs: no uniform standards and limited understanding of key manufacturing parameters, which would identify the most promising and cost-effective options to be transitioned to mainstream high-volume production.

Efforts will focus primarily on developing technologies and specifications necessary to establish standards in several critical areas: inspection, metrology, microbumping, bonding, and thin wafer and die handling. SRC is being brought into the effort to help enable university research projects, notably in the bonding process and 3D inspection areas. First phase of the project will focus on developing necessary standards and technical specs for 3D ICs, followed by identifying key areas for developing design tools to support 3D chip design. IDMs, fab-lite and fabless companies, outsourced assembly/test (OSAT) suppliers, and tool vendors are all welcomed to participate.

The industry is now at an "inflection point" in 3D integration — but still faces challenges associated with a lack of standardization, something that requires deep collaboration among the key industry bodies, noted Dr. John E. Kelly III, SVP and director of research at IBM and chair of SIA’s technology steering committee. A lack of convergence will delay 3D IC adoption, added SRC president/CEO Larry Sumney; combining SRC’s university programs and expertise with SEMATECH’s existing 3D efforts will let everyone "an ambitious interface standardization for 3D integration to enable the commercialization of 3D ICs," he said.

(December 13, 2010) — Bruker Corporation (NASDAQ: BRKR) debuted the AcuityXR optical surface profiler mode that combines patent-pending Bruker hardware and software technology to enable select ContourGT non-contact, 3D optical surface profilers to break the optical diffraction limit and deliver better lateral resolutions.

Many nanoscale applications in the semiconductor, medical, and precision machining fields today have surface features and defects with dimensions that limit detection or identification due to the optical diffraction limit. Such miniscule features and defects often directly affect function, performance, quality and/or manufacturing yields and are of great interest to researchers and production QA/QC.

Bruker optical surface profiler systems equipped with the AcuityXR mode have shown resolution of features below 130nm in width, which is unprecedented in optical microscopy and is nearly 3x finer than for systems without this technology. This system suits analysis of smooth or smooth/stepped surfaces. AcuityXR preserve the wide field-of-view (FOV) and high performance benefits of Bruker non-contact, 3D optical surface profiling. With AcuityXR, dimensional repeatability on narrow structures has been shown to improve by more than a factor of 5.

AcuityXR is an optional capability that is now available on Bruker ContourGT-K1, X3 and X8 optical surface profilers. Introduced in 2010, the ContourGT family of non-contact, 3D optical surface profilers features Bruker-patented, high-brightness dual-LED illumination that, when combined with the system’s vertical resolution, improves sensitivity and stability and enables precision non-contact 3D surface metrology in difficult applications and environments that are challenging for other WLI-based systems. The ContourGT also features the new Bruker-developed and patent-pending Vision64 operating and analysis software and a reportedly intuitive, modular user interface that provides user-level-customization capabilities for a wide range of surface profiling metrology applications.

Bruker Corporation provides high-performance scientific instruments and solutions for molecular and materials research, as well as for industrial and applied analysis. For more information, visit http://www.bruker-axs.com/acuityxr.html.

More Bruker news:
PeakForce Tuna launch
Veeco sells Metrology Business to Bruker Corporation 

Follow Small Times on Twitter.com by clicking www.twitter.com/smalltimes. Or join our Facebook group

(December 13, 2010) — Bruker Corporation (NASDAQ: BRKR) debuted the AcuityXR optical surface profiler mode that combines patent-pending Bruker hardware and software technology to enable select ContourGT non-contact, 3D optical surface profilers to break the optical diffraction limit and deliver better lateral resolutions.

Many nanoscale applications in the semiconductor, medical, and precision machining fields today have surface features and defects with dimensions that limit detection or identification due to the optical diffraction limit. Such miniscule features and defects often directly affect function, performance, quality and/or manufacturing yields and are of great interest to researchers and production QA/QC.

Bruker optical surface profiler systems equipped with the AcuityXR mode have shown resolution of features below 130nm in width, which is unprecedented in optical microscopy and is nearly 3x finer than for systems without this technology. This system suits analysis of smooth or smooth/stepped surfaces. AcuityXR preserve the wide field-of-view (FOV) and high performance benefits of Bruker non-contact, 3D optical surface profiling. With AcuityXR, dimensional repeatability on narrow structures has been shown to improve by more than a factor of 5.

AcuityXR is an optional capability that is now available on Bruker ContourGT-K1, X3 and X8 optical surface profilers. Introduced in 2010, the ContourGT family of non-contact, 3D optical surface profilers features Bruker-patented, high-brightness dual-LED illumination that, when combined with the system’s vertical resolution, improves sensitivity and stability and enables precision non-contact 3D surface metrology in difficult applications and environments that are challenging for other WLI-based systems. The ContourGT also features the new Bruker-developed and patent-pending Vision64 operating and analysis software and a reportedly intuitive, modular user interface that provides user-level-customization capabilities for a wide range of surface profiling metrology applications.

Bruker Corporation provides high-performance scientific instruments and solutions for molecular and materials research, as well as for industrial and applied analysis. For more information, visit http://www.bruker-axs.com/acuityxr.html.

More Bruker news:
PeakForce Tuna launch
Veeco sells Metrology Business to Bruker Corporation 

Follow Small Times on Twitter.com by clicking www.twitter.com/smalltimes. Or join our Facebook group

(November 30, 2010)Rudolph Technologies Inc. (NASDAQ: RTEC), process characterization equipment and software provider for wafer fabs and advanced packaging facilities, is partnering with a major outsourced semiconductor assembly and test (OSAT) services manufacturer to provide its inspection and metrology capability in the development of stacked packaging processes. The process uses silicon interposer technology, sometimes referred to as 2.5D IC, as an intermediate step toward full blown 3D ICs.

“The NSX System will measure via depth, inspect for defects and provide 3D metrology of solder bumps. Rudolph is collaborating with several leading-edge companies in the assembly and test sector, and we believe this effort will help to ensure the continued successful development of our NSX Systems for 3D IC applications,” said Rajiv Roy, Rudolph’s vice president of business development and director of back-end marketing.

Roy adds, “We were selected for this development project because we were able to meet the customer’s current specifications, and they are willing to work with us to make adjustments to the NSX System as we move forward with this project.”

Silicon interposer technology allows manufacturers to stack multiple chips to improve performance and increase the computing power in a small volume. It is particularly attractive to makers of cell phones and other handheld devices that must combine various chips with different functionality in a small space. The silicon interposer provides high density, short path signal routing between the stacked chips without requiring drastic changes in the design and manufacture of the chips themselves. Also read: Xilinx stacked silicon interconnect creates multi-die FPGA

"This is an important step toward full-blown 3D ICs,” Roy continued. “There are still a number of hurdles, however, such as lack of standards and high manufacturing costs for through-silicon-via based 3D ICs in high volume.” Silicon interposer technology allows manufacturers to roll out production-worthy devices without the TSV standards in place, and without the need to modify existing devices already in production.

Rudolph’s NSX Series Macro Defect Inspection Systems help to reduce the manufacturing costs and time-to-market of integrated circuits (ICs). Their high throughput and high repeatability are well established in high-volume applications throughout the device manufacturing process. The NSX System, equipped with Discover Defect Analysis and Data Management software, quickly and accurately detects yield-inhibiting defects, providing quality assurance and valuable process information.

Rudolph Technologies Inc. designs, develops, manufactures and supports defect inspection, process control metrology, and data analysis systems and software used by semiconductor device manufacturers worldwide. Additional information can be found at www.rudolphtech.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Advanced Packaging on Twitter.com by clicking www.twitter.com/advpackaging. Or join our Facebook group

(November 29, 2010) — The phoenix nanotom m, from GE´s Inspection Technologies business, has been developed for high resolution and high precision X-ray computed tomography (CT) in non-destructive 3D analysis and 3D metrology.

Featuring fully automated CT scan execution, volume reconstruction and the analysis process, it offers ease of use as well as fast and reproducible CT results, in applications ranging from small biological and geological samples to medium-sized industrial components such as injection nozzles or injection molded plastic parts, even with metal inlays.

"Compared with current state-of-the-art phoenix|x-ray nanoCT equipment, the new phoenix system provides significantly better object penetration and image sharpness, as well fewer imaging artifacts and anomalies because of its extremely high long-term stability. Moreover, due to its excellent contrast-to-noise ratio, CT scans can be performed up to four times faster for the same resolution and image quality," said Oliver Brunke, product manager for CT at GE’s Inspection Technologies business.

nanoCT of through-silicon-vias (50µm diameter) in an electronic package revealing voids in the copper filling.

The nanotom m incorporates a new phoenix 180kV/15W, high-power nanofocus X-ray tube, which is optimized for long-term stability and allows scanning of high absorbing materials such as metals and ceramics. The internal cooling of the tube also significantly reduces thermal effects such as drift, to ensure even sharper imaging as well as allowing the long scanning times frequently required in scientific research.

The new CT system also features a high dynamic range, typically five times better than current state-of-the-art nanoCT equipment, because of its temperature-stabilized, 3072 x 2400 pixel DXR 500L detector from GE. With such a large detector area, this allows sample sizes of up to 250 x 240 mm and the combination of proprietary GE technology in terms of X-ray tube, detector, generator and CT software ensures that a voxel size of down to 300 nm (0.3 µm) can be achieved.

The phoenix nanotom m can also be supplied with a comprehensive 3D metrology package. This is optimized for stable and reproducible environmental and acquisition conditions and provides fast reconstruction and precise measurement results within minutes. It comprises an air-conditioned cabinet and a high accuracy direct measuring system  as well as vibration insulation of the manipulator. It also includes a calibration object and GE’s phoenix datos|x 2.0 CT software packages “click & measure|CT” and “metrology”. With datos|x 2.0, the entire CT process chain can be fully automated, reducing operator time by a factor of up to five. Once the appropriate set up is programmed, the whole scan and reconstruction process including volume optimization features or surface extraction, runs without any operator interaction. Furthermore, 3D metrology or failure analysis tasks performed with third party programs can be executed automatically. Once programmed, under normal circumstances, automatic creation of a first article inspection report even with complex internal geometries can be provided within an hour.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Advanced Packaging on Twitter.com by clicking www.twitter.com/advpackaging. Or join our Facebook group

Read more about package inspection and test here.

(November 15, 2010 – Marketwire) — Araca Inc., analytical products and services provider for chemical mechanical planarization (CMP) research and development, entered into a Master Services Agreement with Entrepix Inc., a global provider of CMP equipment and process services for semiconductors and advanced materials. Targeting CMP consumables suppliers, commercial device manufacturers, and academic research and development (R&D) organizations, the agreement enables customers to leverage the combined strengths of both companies with in-depth analysis and fundamental research for virtually any aspect of CMP on any material.

Under the agreement, Entrepix will bring Araca’s advanced CMP analysis capabilities to its comprehensive CMP foundry services portfolio. Effective immediately, Entrepix’ direct sales staff in the US, Singapore, China and Taiwan along with an international network of representatives in other major semiconductor manufacturing regions will actively market Araca’s portfolio of advanced analytical services to customers worldwide.

Araca services covered by the Master Services Agreement and now available through Entrepix include: CMP process characterization on single-wafer R&D polishers (200 mm and 300 mm), wafer metrology, functional testing of consumables (i.e. pads, slurries, post-CMP cleaning brushes and chemicals, diamond discs and retaining rings), dynamic mechanical analysis (DMA), slurry particle analysis, active diamond testing and diamond fracture/pullout testing (pad conditioners), numerical modeling/simulation, and advanced analytical techniques.

Araca Inc. provides analytical services related to characterization of advanced CMP processes and consumables. The company also manufactures fully instrumented single-platen R&D polishers for 200mm and 300mm applications. Visit www.aracainc.com for more information.

Entrepix Inc. provides chemical mechanical polishing (CMP) process outsourcing and equipment services. Entrepix also supplies CMP and metrology equipment to support customers’ internal processing requirements. The company’s comprehensive processing and equipment capabilities provide complete CMP solutions, from initial integration and optimization, through pilot production and high volume manufacturing. Visit www.entrepix.com for more information.

Subscribe to Solid State Technology/Advanced Packaging. Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(November 12, 2010 – BUSINESS WIRE)Advantest Corporation (TSE: 6857, NYSE: ATE) released a SEM-based critical dimension (CD) measurement system for next-generation photomasks and patterned media. The E3630 is fully compatible with Advantest’s existing E3610/E3620 CD-SEM measurement systems and software, and boasts 30% improved linewidth repeatability.

The E3630 features a newly developed objective lens and ultra-low-vibration platform, enabling 30% higher linewidth repeatability compared to the E3610/E3620. This suits measuring the CD of the miniature-sized patterns on photomasks for EUV (extreme ultraviolet) and nanoimprint lithography. The tool is optimal for photomask development and manufacturing evaluation at the 22nm and 16nm production nodes.

As semiconductor device features continue to shrink, photomask patterning challenges have created new requirements for highly precise, stable metrology. Advantest’s E3600 series of CD-SEM measurement systems is in use by multiple semiconductor and photomask manufacturers.

Advantest produces automatic test equipment (ATE) for the semiconductor industry and measuring instruments used in the design and production of electronic instruments and systems. More information is available www.advantest.com.