Category Archives: Metrology

(November 5, 2010 – BUSINESS WIRE) — Arradiance shipped their first of multiple orders of the GEMStar Atomic Layer Deposition (ALD) system to the School of Electrical Engineering and Computer Science at Oregon State University. With its capability to process up to 6" wafers using up to 8 precursors, GEMStar has the flexibility to deposit atomically thin layers of material on virtually any substrate and was designed with the most challenging high aspect ratio and through-pore deposition applications in mind.

"From our work with sensitive, high aspect ratio microchannel structures we became acutely aware of the need for a system that could repeatably and uniformly deposit complex nanolaminate films efficiently," explains David Beaulieu, COO of Arradiance."To meet the needs of the Research community, the tool needed to be small, but powerful and be flexible enough to handle the wide range of applications, substrates and materials commonly found in lab environments."

Dr. John F. Conley, OSU Professor states, "The GEMStar has everything our lab environment should need in an ALD tool. It is small, flexible and can handle up to 6" wafers. We also like the 1" height of the chamber that accommodates small, three dimensional objects and the port we can use for in-situ metrology. The design appears to be rugged and easy to service."

Our experience in materials science, charged particle physics and systems design have been combined to make a robust Research system for engineers, says Ken Stenton, Arradiance CEO. "Because of the importance of materials research in emerging growth industries such as biomedical, solar, space science, environmental and semiconductor, we saw the need for a research tool with production performance and reliability. We’re confident the GEMStar will meet this need."

Arradiance functional film technologies enhance the performance of imaging and detection systems, providing resolution, gain and lifetime improvements. Learn more at www.arradiance.com.

Follow Small Times on Twitter.com by clicking www.twitter.com/smalltimes. Or join our Facebook group

(November 3, 2010 – Marketwire)Rigaku Innovative Technologies (RIT), X-ray optics provider, announced further expansion into the optics market for extreme ultraviolet  (EUV) lithography. RIT plans to continue supporting the industry by supplying Osmic Coatings, a line of multilayer coated optics that are essential to EUVL.

The company has been involved in EUVL since the mid-90s, when as Osmic Inc. it provided development optics for micro exposure tools (MET) and multilayer masks for industry-wide efforts that demonstrated the feasibility of EUVL. "Our next goal for company growth is to become the leading supplier of optical components in the emerging market for EUVL, the next generation of semiconductor manufacturing," said John McGill, RIT’s President and COO.

"Osmic supplied optics for EUVL community from the very beginning, and we are now ready to further expand our manufacturing to support the industry’s transition of EUVL into manufacturing phase," said Jim Rodriguez, VP of business development.

Ten of thousands of RIT’s multilayer optics are used in X-ray spectrometers and diffractometers. RIT’s synchrotron optics and neutron mirrors are utilized in synchrotrons and neutron diffraction facilities worldwide. Hundreds of RIT’s beam modules are installed and operating in state-of-the-art semiconductor fab metrology systems and pharmaceutical crystal protein research facilities. The company’s small-angle X-ray scattering (SAXS) cameras are installed at more than 30 sites, leading the development of major new analytical research areas in nanoscale structural and biological studies.

A subsidiary of Rigaku Corporation, RIT also develops pharmaceutical products to enable better understanding of protein and virus structures. In materials, RIT offers products used in automobiles, computers, construction, farming, and many other areas.

Subscribe to Solid State Technology/Advanced Packaging. Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(October 28, 2010) Carl Zeiss SMS GmbH, supplier for photomask metrology and repair tools and Synopsys Inc. (Nasdaq: SNPS), software and IP provider for semiconductor design, verification and manufacturing, will collaborate to support the ZEISS tool family for in–die metrology solutions for the 32nm technology node and below. Synopsys will offer support for ZEISS’ PROVE, the next-generation registration metrology tool, through Synopsys’ CATS, the technology-leading mask data preparation solution. Using CATS as the data preparation engine, mask engineers using PROVE can benefit from improved efficiency and usability of a registration metrology system that meets stringent overlay accuracy requirements.

Strong optical proximity correction and double patterning techniques, required to extend 193nm lithography to the next technology nodes, demand greater photomask pattern placement accuracy. The new PROVE system meets these increased demands with its groundbreaking concept of 193nm illumination optics. It delivers an in-die metrology capability for measurement of the smallest production features without placing registration marks, enabling mask makers to measure and analyze registration in critical areas on the mask.

The new CATS module, currently in limited customer availability and generally available in March 2011, enables a fast, efficient and fully automated flow for the setup of photomask metrology jobs. Using the industry standard open formats OASIS.MASK and XML, advanced marking capabilities and the PROVE 2D correlation method, CATS offers a significant enhancement to conventional image analysis schemes. The innovative method compares 2D design clips of the mask provided by CATS with images on the mask captured by PROVE, resulting in higher measurement accuracy compared to standard methods using 1D measurements based on edges only.

"The new CATS module will significantly help to reduce mask registration errors on arbitrary production features," said Dr. Dirk Beyer, product manager for PROVE at Carl Zeiss SMS GmbH.

Registration errors can now be quantified for each mask with no resolution limitations, giving mask manufacturers a completely new tool for reducing placement errors in double patterning and mask-to-mask overlay.

For more information, visit http://www.zeiss.com/sms and http://www.synopsys.com/home.aspx

Subscribe to Solid State Technology/Advanced Packaging. Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

Executive Overview

The technology nodes of 45nm and beyond pose aggressive requirements on copper metallization processes. Barrier and seed layers become thinner; aspect ratios become higher. Barrier and Cu seed films must offer electrical continuity for the electroplating process and provide optimal Cu orientation for minimum resistance, while maintaining conformality with smooth morphology and no overhang [1]. These demands facilitate need for the thickness and structural characterization after seed/barrier manufacturing step. A solution is developed based on combination of x-ray reflectometry (XRR) and 2D wide angle x-ray diffraction (WA-XRD) techniques.

Asaf Kay, Alex Tokar, Jordan Valley Semiconductors, Ltd., Migdal Ha’Emek, Israel; Matthew Wormington, Jordan Valley Semiconductors, Ltd., Austin, TX USA

As the semiconductor industry continues to evolve, much smaller and higher aspect ratio features are required for high performance or low power devices. For such devices, copper has replaced aluminum as the main element used in interconnects from the first to the last metal layer. The advantage of copper is that it has the second highest electrical conductivity of any element, just after silver, but is much more common and hence less expensive. However, copper is very mobile in silicon and it readily diffuses into other layers and contaminates them. The solution for this problem is to deposit a barrier layer such as tantalum, yet again, however, there are issues in that tantalum can be deposited in more than one crystallographic phase, each with very different electrical resistivities. The α-Ta phase has a much lower resistivity compared to the β-phase and is therefore desirable.

Not only is the phase of the barrier important but so too is the orientation distribution of its grains, i.e., crystallographic texture. The tantalum layer is deposited in a (111) orientation and therefore exhibits low compressive stress and facilitates a strong preferred (111) texture in the subsequently deposited Cu seed layer, which is desirable since such a texture has been shown to have much better electromigration performance and hence lifetime. Since microstructure has such a profound influence on the performance and lifetime of Cu interconnects, it should be evident that there is great benefit in the metrology of microstructural parameters.

To be able to cope with all the challenges, one has to carefully monitor Cu metallization processes. We have combined two X-ray based techniques on a single fab-proven platform: one is X-ray reflectivity (XRR) and the other is wide-angle X-ray diffraction (WA-XRD).

X-ray reflectometry (XRR) is a new, but nonetheless firmly established technique [2,3]. XRR is one of the most accurate thin-film characterization methods available as it does not make use of material parameters that are not precisely known. Until recently, the XRR method had suffered from some limitations such as, long data collection times (typically tens of minutes), large spot size, and complex mechanics (moving sample and detectors) that resulted in low performance and high maintenance. The "fast XRR method" was specifically developed to overcome these issues to provide fast, reliable XRR measurements for high-volume production.

Wide-angle X-ray diffraction (WA-XRD) has been used for the lab-based characterization of thin, polycrystalline films for many years [4]. However, the spot-size of the X-ray beam was often large and the measurement speed is slow, since scanning a point (0D) detector was commonly used. This has limited the use of the technique for in-line or patterned wafer metrology. To address these issues, we developed a WA-XRD measurement channel optimized for copper structure metallization processes.

XRR channel

X-ray reflectivity (XRR) is a non-destructive, standard-less technique for the measurement of multilayer properties such as thickness, density, and roughness. XRR measurements are highly sensitive to the electron density of sub-micron structures irrespective of their crystalline nature.

Figure 1. Schematic diagrams of the Jordan Valley a) XRR and b) WA-XRD channels.

Consider an X-ray beam illuminating the surface of a sample at low (1-2°) incidence angle as shown in Fig. 1a. The index of refraction for all materials in the hard X-ray wavelength region is slightly less than one, consequently, the X-ray beam is totally reflected if the incidence angle is a smaller than a certain critical angle. In this region, the penetration depth is only a few nanometers. At slightly higher angles, the X-rays start to penetrate and are reflected from the interfaces of thin-films resulting is a series of interference fringes.

Figure 2. Measured XRR data (blue curve) and best-fit simulation (red curve) from a Cu seed / Ta barrier system. Typical acquisition times are a few seconds for scribe line measurements.

Typical XRR data from a Cu/Ta film stack is shown in Fig. 2. These data show the critical angle at about 0.4°, whose position gives the average electron density. The fringe spacing is directly related to the film thickness. The short period fringes give the thickness of the Cu layer while the longer period fringes yield the thickness of the Ta barrier. A model fitting approach is used for data analysis. Simultaneous measurements of both Cu and barrier thickness are possible in only a few seconds while providing additional information on the density and roughness (from fringe decay and envelope).

As mentioned, the most commonly encountered XRR systems to date have been scanning systems that move the source/optics or sample and the detector over the range of measurement angles. These systems are mechanically complex and have low throughput due to the serial data acquisition. In order to cope with the new demands of the evolving semiconductors industry, there is a need for much more advanced XRR system.

WA-XRD channel

WA-XRD is a nondestructive technique for characterizing the crystallographic microstructure of polycrystalline materials. In this technique, the intensity diffracted from films at comparatively high-angles is measured as a function in order to study and quantify such properties as crystallographic phase, grain-size and texture. According to Bragg’s law, the geometric condition for diffraction from atomic planes with spacing d is given as 2d sin q – 1. For a beam of X-rays with wavelength l similar to the atomic spacing, strong diffraction occurs at angles q that are a few tens of degrees. The intensity distribution can be measured and quantified to provide valuable insights into the properties of polycrystalline materials: typically phase from the position of the diffraction peaks, grain-size from the full-width half-max of the diffraction peaks, and texture from the relative intensity of diffraction peaks.

XRD is a well established method and has been used in many industries and in R&D labs, however the setups are not ideally suited for use in semiconductor fabs for many of the same reasons described above for the conventional XRR tools. When applying automated XRD analysis in fabs, there is a need to dramatically reduce acquisition times while providing adequate precision while using a small spot so as to allow measurements on patterned wafers. We have developed a WA-XRD channel that can be applied in fabs; it has a very short acquisition times (from a few seconds to a few tens of seconds) and is designed for high-volume production use. Both the hardware and software have been optimized for fab-based measurements.

The classic X-ray diffractometer again works by scanning of the sample and/or detector over very wide angular ranges. This leads to significant mechanical complexity due to the stability that is required for accurate and precision positioning of a small X-ray beam over a large wafer area. While such measurements are certainly possible, they can be very time consuming taking many minutes and, for some measurements, several hours. The JVX WA-XRD channel has no moving source/detector and acquires data over a wide range of diffraction angles with single-shot acquisitions (Fig. 1b). The channel was developed in such a way so as to be able to handle a number of applications.

The channel has a small, high intensity X-ray spot that allows patterned wafer measurements. A custom-designed area detector is used to provide parallel acquisition of the 2D diffraction pattern, which provides information about both the lattice spacings and orientations of the polycrystalline grains. The phase, texture and grain size of polycrystalline thin-films can be measured and mapped over an entire 300mm wafer (Fig. 3).

Figure 3. 2D X-ray diffraction pattern from a) copper with strong 111 fiber texture and b) from randomly oriented copper with tantalum showing Debye rings.

Using the 2D diffraction pattern one can extract quantitative parameters by integrating the data to produce 1D intensity distributions that can be fitted to analytical peak functions. If the diffracted intensity is integrated around the direction of the Debye rings (f direction) then one can get the familiar X-ray diffraction pattern – intensity as a function of 2q– from which phase and grain-size information can be extracted. The phase of the Ta(N) barrier is important since it influences the material’s resistivity and likewise for texture and grain-size in the overlying copper (Fig. 4).

Figure 4. 1D integrated diffraction pattern from a) copper with strong 111 fiber texture and b) from randomly oriented copper with tantalum.

If, however, one integrates the intensity of the diffraction over the 2q direction, then one will get intensity as a function of f. Analysis of such data provides a quantitative estimation about texture, which has been shown to influence CMP erosion rates and electromigration performance in copper interconnects.

Conclusion

The XRR and WA-XRD techniques and their application to advanced Cu metallization are briefly described in this article. Both are well established techniques for measuring thickness, density and roughness (through XRR) and grain size, texture and crystallographic phase (through WA-XRD). The difficulties associated with traditional X-ray systems in the context of automated, high-volume manufacturing were highlighted.

The 6200iRD tool combines two measurement channels: XRR and WA-XRD. Both channels are optimized for high-volume silicon manufacturing because of very low measurement times (a few seconds), small spot-size, and mechanical precision and stability. The combination of these two channels provides comprehensive metrology to the metallization processes: one can monitor the thickness and the density of the copper and barrier layers while also obtaining valuable microstructure information in terms of phase, grain-size, and texture.

References

1. P. H. Haumesser, et al., "Copper Deposition: Challenges at 32nm," Semiconductor Fabtech 29, (2006), 108-114.

2 .C. Wyon, "X-ray Metrology for Advanced Microelectronics," Eur. Phys. J. Appl. Phys. 49, (2010) 20101.

3. D. K. Bowen, B.K. Tanner, X-ray Metrology in Semiconductor Manufacturing, Taylor & Francis (2006).

4. M. Birkholz, Thin Film Analysis by X-Ray Scattering, Wiley-VCH (2006).

Biographies

Asaf Kay received BSc degrees in physics and materials science and engineering from the Technion (IIT) – Israeli Institute of Technology. He is an application engineer at Jordan Valley Semiconductors IL Ltd, Migdal Ha’emek 23100, Israel; ph.: 972-4-6543666, ext. 250, email [email protected].

Matthew Wormington graduated with a BSc(Hons.) in physics from the U. of Birmingham, UK. He did graduate work at the U. of Warwick, UK and is a senior technologist for Jordan Valley Semiconductors Inc.

Alexander Tokar received an engineering degree from Steel and Alloys Institute, Moscow, majoring in X-ray diffraction, and received his PhD from the Israel Institute of Technology (IIT) in materials science. He is a manager, worldwide application support at Jordan Valley Semiconductors.

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles

(September 30, 2010 – Marketwire) — CAPRES A/S, semiconductor metrology system developer for direct nano- and micro-scale electrical characterization of materials, received a new order for its fully automated 300mm microRSP-A300 from a leading US-based IDM. With the development and production release of the microRSP-A300, CAPRES has addressed the challenges associated with in-line measurement on production wafers, reducing reliance on data extrapolation and monitor wafers to validate doping levels.

The initial application of the tool will be to monitor sheet resistance of very thin films on product wafers before metal 1. This production application allows immediate and direct detection of process deviations leading to a range of sought-after benefits. The benefits range from shortened production control loop to reduction of work-in-process and a reduction of loss due to out-of-specification production processes. 

Industry news around 40nm

Analyst: Why TSMC will stay tops in 40nm

Samsung mass producing 40nm-class 8GB DDR3 module

"Conventional Rs and optical metrology tools are not capable of measuring the sheet resistance of the very thin conductive films needed for production processes beyond the 40nm node. 2011 with ramp up of below 40nm process lines around the world will be a key year for adoption of our technology by top 10 IDM confirmed by our current tool backlog," said Bo Svarer Hansen, CEO of CAPRES A/S.

CAPRES A/S supplies micro-scale metrology systems for the semiconductor and magnetic storage industries. More information is available at www.capres.com

Subscribe to Solid State Technology/Advanced Packaging. Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(September 24, 2010) — SEMICON Europa will take place October 19-21 in Dresden, Germany. The SEMI Europe team is closely working with their supporting committees and the manufacturing and R&D organizations to tailor the SEMICON Europa programs. Program development is matched to the specific needs of the European semiconductor industry in the current environment.

  • 12 Technology conferences
  • 13 Free technology and standardization session
  • 4 Executive and networking events
  • 12 Courses

All major European fabs will be speaking: Altis, Analog Devices, Bosch, Colibrys, CSR, Freescale, GLOBALFOUNDRIES, Infineon, Intel, IBM, Lfoundry, Micron, Nanium, Nokia, Numonyx, NXP, Osram, STMicroelectronics, Texas Instruments, Thales, X-Fab, etc.

The Semiconductor front-end track includes the 14th Fab Managers Forum; and sessions on lithography, automation and process control, metrology, new materials, secondary equipment/services, and a progress review of 450mm.

The Fab Managers Forum is a highly interactive session revolving around the question, How do we keep current Fabs fit for purpose and most efficiently running? Topics include equipment lifecycles, automation in the fab, 8" fabs, lean operation and line optimization, and more.

Check out these pre-show interviews with major presenters from the lithography session.

For more details on any of the technology sessions in the semiconductor track, including presenters and times, visit http://www.semiconeuropa.org/ProgramsandEvents/SemiconductorFront-end/index.htm

SEMICON Europa program tracks (Click on the links to see a track overview):

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(September 13, 2010) — U. K. Klostermann, T. Mülders, T. Schmöller, W. Demmerle, Synopsys GmbH and G. F. Lorusso, E. Hendrickx, IMEC, assess the readiness of rigorous physical resist model calibration for accurate EUV lithography (EUVL) simulation — first, summarizing the experimental setup for the EUVL and discussing pattern selection for calibration, then illustrating the speed and robustness of model building, which allows overnight determination of accurate models. Next, they examine the results of the model validation performed using an independent dataset (i.e. data not included in the calibration). The predictability of the resist model is demonstrated across various flare levels, pitches and critical-dimension (CD) ranges, and the impact of 3D mask effects, resist profile information and other factors is discussed. Finally, the article will look at the application of the calibrated resist model to a reticle with a different mask stack, to demonstrate that observed across-die CD fingerprint characteristics can indeed be reproduced by simulation.

As chip manufacturers develop strategies to accommodate the coming 16nm technology node, many consider extreme ultraviolet lithography (EUVL) a key contender for patterning these ultra-deep-submicron devices. While rigorous physical models have already proven powerful for EUV modeling, [1] limited tool time is available to perform experiments, despite the steady buildup of an EUVL infrastructure. This fact underscores the critical need for an accurate, predictive EUV lithography process simulation to enable reliable pre-production studies.

Therefore, not only EUV-specific imaging effects such as flare, 3D mask shadowing and horizontal-vertical (H-V) bias need to be captured by the simulator, but also the patterning behavior of EUV photo resist. Due to their partly empirical nature, computational resist models need to be calibrated against experimental data to ensure a high degree of predictability.

During the phase of EUV process development, there is a wide range of EUV resist candidates that need to be evaluated, each of which requires a well-calibrated resist model. The analysis of various material options requires a fast, efficient resist model calibration process.

EUV lithography process development

Proven equipment and stable process conditions are the key to reliable results. Equipment and materials deployed for this calibration study included ASML’s EUV alpha demo lithography tool, on which all test wafers have been exposed; Shin-Etsu’s SEVR-59 photo resist, representing IMEC’s baseline process; Synopsys’ Sentaurus Lithography simulation software and the accompanying resist calibration module; and a Hitachi CG4000 scanning electron microscope (SEM) for measuring CD data used for resist model calibration and validation. All exposures were performed using IMEC’s TM08 reticle, which holds a wide range of one-dimensional (lines and spaces) and two-dimensional (line end structures and contact holes) test patterns with various dimensions in horizontal and vertical orientation.

 

 

Wafer stack & resist process conditions

Substrate: Silicon (plain) 

Resist: Shin-Etsu SEVR-59

Underlayers: Not applied

Resist film thickness: 65nm

 

Exposure settings: ASML EUV ADT

Wavelength: 13.6nm  

Numerical Aperture: 0.25

Source shape: Circular; σ = 0.5

Flare TIS: 14%

 Figure 1. Process and simulation conditions for (a) wafer/resist stack properties and (b) exposure tool settings on the ASML alpha demo tool (ADT) at IMEC.
   

(c) Cross section view of the mask; due to the reflective geometry of the exposure process, light inclines at an angle of 6 degrees. The topographic nature of the absorber stack is causing a shadowing effect. (d) Cross section view of the simulated aerial image in resist (bulk image); the shadowing effect results in a different imaging properties for horizontal (H) and vertical (V) lines, visible as pattern shift and CD differences (H-V bias).

This study uses a large experimental CD dataset that was initially generated for optical proximity correction (OPC) model calibration and validation. Figures 1a and 1b provide the high-level process conditions for the resist stack properties and exposure parameters. The basic geometry is illustrated in Figure 1c, showing the multi-layer mask blank (40 pairs of molybdenum/silicon (MoSi) bi-layers) and the structured absorber stack, which consists of three different material layers. For all simulations, the layer thicknesses were as given by the mask shop, and material properties used n/k refractive values from the CXRO website [2]. The topographic nature of the absorber stack in combination with the oblique incident of the light onto the mask (6-degree incident angle) is causing a shadowing effect, which results in different imaging properties for horizontal (H) and vertical (V) lines, visible as pattern shift and CD differences (H-V bias), as shown in Figure 1d.

 
Figure 2. Typical FEM data set for a specific feature, in this case 32nm dense lines & spaces, vertical orientation. The x markers indicate the experimentally determined CD results, averaged over 5 independent SEM measurements. The solid lines represent simulation results based on the calibrated resist model.

A key factor for running an effective calibration, yielding in a predictive model, is the selection of test patterns forming the calibration data set. In this case, the data set consists of 12 different focus exposure matrices (FEMs) varying in line width (nominal 32, 36, and 40nm), pitch (isolated and dense) and pattern orientation (vertical and horizontal) to ensure a good coverage of the test pattern parameter space. The calibration considers a subset of matrix points along the focus and exposure ranges: for this experiment, -0.15μm to +0.15μm in 7 steps, and 17 ±4mJ/cm² in 9 steps, respectively. Each CD data point is based on the average of five independent measurements, which usually fall within a 2nm CD range. Figure 2 shows a typical example of measured FEM data points for a 32nm dense line with vertical orientation (markers), as well as the simulation results using the calibrated resist model (solid lines).

Model calibration highly scalable for multiple cores

The Resist Calibrator module of the lithography simulation software was used to perform the entire calibration, optimizing a sub-selection of the available resist model parameters in order to match simulation and experimental data. These free parameters included diffusion- and kinetic-related coefficients, as well as parameters impacting the aerial and bulk images, such as the zero-focus offset to adjust for the exposure-tool-dependent zero-focus plane.

 
Figure 3. Scalability of computational calibration run time vs. the number of active CPU cores at 3 GHz clock speed: Even on a four core CPU, a calibrated model can be obtained within a single day — trading convergence criteria against computation time.

A highly efficient optimization algorithm and parallelization techniques enable fast turnaround time on model building. Moreover, it is possible to balance the computational time against convergence. For this specific dataset, convergence occurred very fast, allowing a typical model error of 0.9 to 1.1nm. The EUV resist model calibration set up described herein achieved a typical computation time of between two and 10 hours, where parallelization techniques can be applied to reduce the calibration time. This allows several different nominal setups to be investigated within a single day. Figure 3 shows the calibration’s excellent scalability under shared memory operation as a function of the numbers of cores for two convergence conditions.

Assessing the quality of a resulting resist model requires investigating the fitting quality of the calibrated model by predicting the CD results of an independent validation data set. We used the weighted CD root mean square deviation (RMSD) to quantify the results.

 
Figure 4. Inspection of CD deviation for all calibration data points. a) The maximum CD deviation between measurement and calibrated model is less than 2 nm for process conditions near the center of the process window (PW; focus range -0.05 to 0.05μm, dose range 15 to 20 mJ/cm); b) Visual SEM top down image inspection on an outlier (e.g. here: 32nm horizontal dense line, 14 mJ/cm², -0.1μm) shows that metrology artifacts and large CD range of calibration data points are responsible for the large observed deviation. c) For reference, the SEM top down image of a 32nm horizontal dense line at nominal best process condition (17 mJ/cm², 0.0μm) is shown.

After parameter optimization, the RMSD of the calibration dataset is 1.1nm when all weights are set to 1. As seen in Figure 4, near-best-process conditions (focus range 0.05 to 0.05μm, dose range 15 to 20mJ/cm², exposure latitude 28%) yield a 2nm maximum CD deviation, which is comparable to the CD range for the input data of a given data point. For process conditions at the process window edge (focus ±0.1μm or 13, 14 and 20mJ/cm²) the CD deviation is found to be higher. Large deviations between experiment and model may reflect issues not with modeling quality, but rather with the data-collection process indicating CD metrology issues. Here, SEM top-down images should be used to validate experimental data points and exclude metrology artifacts (Figure 4b) by comparing them to reference measurements (Figure 4c).

 

 
Figure 5. Prediction of resist profiles of a 40nm half pitch line for two different calibrated models. a) Only measured CDs are used as calibration data set. b) A single resist height measurement data point e.g. based on SEM cross section is additionally included, resulting in the desired amount of resist loss. c) For comparison the corresponding experimental data is shown.

Results detail optimal calibration performance

Resist profiles. Figure 5 illustrates the simulated resist profile for a 40nm half-pitch pattern using two different resist models: 5a) no profile tuning performed during calibration, and 5b) including resist profile height information obtained from a single data point through a cross section image. During model calibration, relevant parameters impacting the resist profile shape, e.g., acid top-out diffusion or surface inhibition effects, were co-optimized to ensure that calibrated resist models would reflect real resist loss following the patterning process. The resulting simulated resist profile shapes match experimental data both qualitatively and quantitatively very well, as shown in Figure 5c. The reported simulated CD corresponds to the line width obtained at the bottom of the resist profile.

Flare modeling. The predictive power of a calibrated resist model can also be demonstrated by validating the model against data obtained under different exposure conditions. IMEC’s TM08 allows the investigation of flare effects by providing all test pattern within areas representing three different flare levels, low (4.3%), mid (6.3%) and high (8.3%), based on the point spread function (PSF) provided by the exposure tool supplier [3]; within each environment, the flare level is controlled by the dummy fill pattern density. With the resist model calibration being carried out on data obtained in the mid flare regime, Figure 6a shows that the CD values of data points obtained in the high flare and low flare regime can equally well be predicted, yielding RMSD results of around 1nm for all conditions. For comparison, the RMSD values are also calculated for the nominal design CD and the experimental data anchored at mid flare level and horizontal orientation. This demonstrated the improvement in accuracy when using simulation based on a calibrated resist model. However, this also indicates that significant effects such as flare or shadowing need to be properly taken into account in the modeling process on which the calibration is based.

Figure 6a) RMSD values for groups of validation data (flare level, orientation). The calibrated model achieves a RMS ~1nm or below for each sub-set. For reference, the RMS is also calculated by directly comparing nominal design CD and experimental wafer CD (nominal design) (b) CD deviation through pitch for the validation data for both, nominal line width and corrected line width based on mask CD metrology (data for medium flare level only shown). Especially for small pitch ranges below 100nm mask error correction turns out to be mandatory.

Mask metrology error and through-pitch validation. Figure 6b shows an example for a through pitch validation, demonstrating that mask-linewidth knowledge can significantly affect the quality of a resist model calibration or validation. In case the validation is carried out based on nominal mask CD information, the simulation results are on target for the isolated lines, but show a systematically too-wide mask CD for dense lines (dark markers). However, running both calibration and validation based on corrected masks CD data, obtained after an individual mask metrology step, significantly smaller deviations between simulated and measured wafer CDs are observed across the entire pitch range (light markers).

 
Figure 7. Intra-die CD uniformity (CDU) for 32nm dense lines (horizontal H and vertical V). a) The experimentally observed signature could be reasonable well be modeled by considering mask CD, dose, focus and shadowing effects. b) The quantitative agreement between experiment and models is very good and RMS is found to be 0.3nm.

Prediction of CD uniformity behavior across the exposure field. The physical nature of the models used here in EUV lithography process modeling also allows the assessment of related effects or data obtained with a different reticle. In this example, the individual contributors to the CD uniformity budget across the exposure field are investigated. Based on the calibrated resist model described above, simulations were carried out taking new setup parameters into account, such as different absorber stack properties of a new test reticle, individual mask CD error corrections, azimuth angle on the mask (depending on the position in the field), and the intensity signature of the EUV tool across the exposure slit. Figure 7 compares the experimental and simulated CD data for a 32nm dense line pattern for the various field positions. The RMSD is around 0.3nm, with 1nm maximum deviation between simulation and experiment. This agreement confirms the relevance of the contributors mentioned above to CD uniformity, as well as demonstrates the high accuracy of the experimental methodology, and the predictive power and the portability of the calibrated resist model.

Conclusion

Advanced technology nodes, down to 16nm and beyond, are likely to utilize EUV lithography for printing critical features. The engineering challenges associated with the EUV process, limited access to first-generation exposure and processing equipment, and the high cost involved in running experiments make lithography simulation a perfect tool to support process, equipment, and material development. To make the most of simulation technology, lithographers need calibrated resist models with predictive accuracy. The work described in this article demonstrates the readiness of an effective and efficient model calibration methodology enabling the rapid generation of accurate and predictive EUV resist models. The application examples prove the readiness of such calibrated physical resist models to enable accurate EUV lithography simulation.

The availability of calibrated resist models in physics-based lithography simulation tools is an important milestone paving the way for EUVL to volume production. The rigorous simulation approach delivers three dimensional resist profile information, which is crucial to the early assessment of the quality of compensation strategies for EUV specific effects in mask synthesis and manufacturing applications.

References
[1] Bienert, M. et al. , “Imaging budgets for EUV optics: Ready for 22 nm node and beyond,” Proc. of SPIE Vol. 7271 72711B-1 (2009)
[2] CXRO website: http://www.cxro.lbl.gov/
[3] Meiling, H. et al., “Performance of full field EUV systems,” Proc. of SPIE Vol. 6921 69210L-1 (2008).

Ulrich K. Klostermann received his doctor’s degree in Physics from the University of Regensburg (Germany), and is Corporate Application Engineer at Synopsys GmbH, Karl-Hammerschmidt-Strasse 34, D-85609 Aschheim/Dornach, Germany; ph.: +49 89 993 20116; email: [email protected]

Thomas Mülders received his doctor’s degree in Physics from the RWTH University in Aachen (Germany) and is Senior R&D Engineer at Synopsys, GmbH, Aschheim, Germany.

Thomas Schmöller received his diploma in Physics from the University in Erlangen (Germany) and is CAE Manager at Synopsys, GmbH, Aschheim, Germany.

Wolfgang Demmerle received his doctor’s degree in Physics from the Technical University of Munich (Germany) and is Product Marketing Manager at Synopsys, GmbH, Aschheim, Germany.

Gian F. Lorusso received his PhD in solid state physics from the University of Bari (Italy) and is Researcher at IMEC, Leuven, Belgium.

Erik Hendrickx received his PhD in physical chemistry from the University of Leuven (Belgium) and is Senior Researcher at IMEC, Leuven, Belgium.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(August 19, 2010) — Tom Gubiotti, product marketing manager at KLA-Tencor, discusses the design decisions that drove the company’s new film metrology tool — the Aleris 8330 — targeted for non-critical films at 32nm and below. Among the drivers — especially for memory manufacturers — is the need for high-throughput, low cost-of-ownership tools that are capable of recipe sharing around the fab, so-called, “future proofing.”  Gubiotti speaks with senior technical editor Debra Vogler.

Play the Podcast Now or Download the Podcast 

Figure 1. 3D map of film thickness results from Aleris 8330 spectroscopic ellipsometer. Data such as these can be used to monitor, control, and troubleshoot deposition, etch, and photo processes in the semiconductor manufacturing process.
Figure 2. Comparison of relative matching range and throughput performance for various film metrology tools. The current-generation tools provide the best matching performance for critical films (Aleris 8350) and best throughput for non-critical film applications (Aleris 8330).

View all of the ElectroIQ.com podcasts here.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi. Or join our Facebook group

(August 17, 2010 – BUSINESS WIRE) — Veeco Instruments Inc. (Nasdaq: VECO) agreed to sell its Metrology business to Bruker Corporation (Nasdaq: BRKR), a leading provider of high-performance scientific instruments and solutions for molecular and materials research, for $229 million in cash. The transaction has been approved by the Board of Directors of both companies and is expected to close in the fourth quarter of 2010, pending regulatory review and subject to customary closing conditions.

The sale will transfer Veeco’s worldwide Metrology business to Bruker, including Veeco’s Atomic Force Microscope (AFM) business in Santa Barbara, CA and its Optical Industrial Metrology (OIM) business in Tucson, AZ, as well as Veeco’s associated global AFM/OIM field sales and support organization. Bruker intends to combine Veeco Metrology with its global Bruker Nano instruments business, which currently sells a broad range of systems and analytical solutions for materials and nanotechnology research. Veeco currently expects cash proceeds from the transaction to be approximately $160 million net of estimated applicable taxes and transaction fees. Additional terms of the transaction were not disclosed. Citigroup Global Markets Inc. acted as exclusive financial advisor to Veeco in connection with the transaction.

John R. Peeler, Veeco’s CEO, commented: "Following the sale of Metrology, Veeco expects to benefit from greater focus on and investment in our LED & Solar and Data Storage Process Equipment businesses. We believe the sale of Metrology will allow us to accelerate our progress developing new products, gaining share, and aligning with key customers in markets with large growth opportunities, including several "clean tech" markets. The sale is also expected to give us additional financial flexibility to pursue acquisitions and expand customer support for our growing Asia business."

Peeler continued, "Veeco Metrology is a great business that is strong, growing and profitable and has many exciting new products. Even so, it lacks meaningful synergies with our Process Equipment businesses in technology, distribution and customers. We believe it will be a better fit as part of a large and successful instrumentation company, such as Bruker, where the focus will be on continued development of innovative scientific instruments. We have great confidence that the Metrology business will continue to grow and prosper as part of Bruker."

Frank H. Laukien, Bruker’s President and CEO, added: "We are excited to add Veeco’s industry-leading scanning probe microscope (SPM) and optical metrology systems to the Bruker product portfolio of high-performance materials research and nanotechnology instruments. We very much look forward to welcoming the customers, management and employees of the Veeco Metrology business to Bruker after the closing of the transaction."

Veeco will account for the Metrology business segment as a "discontinued operation" effective August 15, 2010. Veeco is therefore updating guidance for third quarter 2010 revenue from continuing operations to be in the range of $255-280 million, with GAAP earnings per share between $1.45 and $1.72 and non-GAAP EPS between $1.13 and $1.33. Please see attached GAAP reconciliation table. Without Metrology, Veeco’s updated guidance is that 2010 revenues from continuing operations will be approximately $1 billion, with about 90% from the LED & Solar business segment.

Veeco Instruments Inc. designs, manufactures, markets and services enabling solutions for customers in the HB-LED, solar, data storage, semiconductor, scientific research and industrial markets. http://www.veeco.com/

Read an article from Veeco about AFM, "Detecting failure modes in today’s MEMS" by Noushin Dowlatshahi and Bob Chanapan from Small Times.

Read other articles about analytical equipment for the nano sciences industries here: http://www.electroiq.com/index/nanotech-mems/tools-equipment/analytical-equipment.html

Bruker Corporation (NASDAQ: BRKR) is a provider of high-performance scientific instruments and solutions for molecular and materials research, as well as for industrial and applied analysis. For more information: http://www.bruker.com

(August 2, 2010) — In this video interview with senior technical editor Debra Vogler from SEMICON West 2010, James Moyne, Applied Materials (AMAT), highlights a new technology: virtual metrology. Virtual metrology, on which Moyne presented at ASMC/SEMICON, enables tighter semi fab control using line data analysis. The summarized data is synched with real metrology data. Virtual metrology, a technique that has been around for a while, is now adaptive. Moyne explains.

Read more about semiconductor wafer inspection here: http://www.electroiq.com/index/Semiconductors/inspection.html

Also read: EDA interface value proposition from SEMATECH, 10/2008
Cycle-time improvements and time-waste reduction are being accomplished by improving equipment setup times and operations. Realizing even greater efficiency, however, requires the use of more sophisticated means of collecting data and controlling the equipment …

Visit the SEMICON West 2010 center on Solid State Technology: http://www.electroiq.com/index/Semiconductors/semiconwest2010.html