Category Archives: Metrology

May 2, 2008 — The German firm piezosystem jena — known for development, design, and engineering of piezoelectric actuator-based systems for micro- and nano-positioning and nano-automation — announces two developments intended to serve those needing high-resolution precision. The company has expanded its TRITOR line of field-tested nanopositioning tools, and has become the exclusive U.S. distributor for SIOS, the German manufacturer of nanoprecision measurement systems.

SIOS’s interferometric measurement systems, designed for calibration and control of nanoscale movements, are now available in the U.S. exclusively through piezosystem jena, which has offices in Hopewell, Massachusetts. The new metrology product line includes single-, double-, and triple-beam interferometers for length measurements down to 0.1nm, and for angular measurements down to 0.01 arcsec. A vibrometer is also available for vibrational analysis. Applications include semiconductor analysis, microscopy, materials analysis, and microstructure measurement.

And piezosystem jena’s new TRITOR 50 CAP is a compact (55 x 42 x 35 mm), three-axis nanopositioner that provides a travel of 50 microns. Specially designed solid-state hinges enable movement without friction; and specific, mechanical FEM-optimized design of the actuators enables dynamic features. Promising sub-nanometer resolution in open-loop operation and one nanometer in closed-loop operation, the system guarantees high-precision positioning.

An integrated capacitive measurement sensor subsystem eliminates hysteresis and drift to provide extremely high stability, linearity, and repeatability in closed-loop operation. The TRITOR 50 CAP is available with vacuum and cryogenic capabilities, and is particularly well suited for applications in optics and biology, laser tuning and fiber positioning, and scanner systems.

This past fall, piezosystem jena released a new amplifier to enable sub-nm positioning.

(April 16, 2008) Migdal Ha’Emek, ISRAEL &#151 Jordan Valley Semiconductors LTD, a provider of semiconductor metrology solutions, has acquired the business of Bede, effective Monday April 14th. Bede is a supplier of high-resolution XRD (HRXRD) metrology for the semiconductor and compound industries with revenues of $11.6M in 2007. Bede entered into the UK’s Administration phase, their equivalent of Chapter 11, on March 31.

Jordan Valley’ s metrology tools are designed for thin films based on novel, rapid, non-contacting, and non-destructive x-ray technologies including X-ray reflectivity (XRR), X-ray fluorescence (XRF), and small angle X-ray acattering (SAXS) technologies.

by Tom Cheyney, Senior Contributing Editor, Small Times

Although double-patterning immersion and extreme ultraviolet (EUV) lithography may be the next-generation approaches currently favored by the semiconductor industry for scaling down the technological roadmap, several other technologies have made significant progress and could emerge as alternatives, both for future chipmaking needs as well as for high-density patterned disk media and other nanomanufacturing applications.

At the SPIE Advanced Lithography conference, presentations on electron-beam direct write (EBDW), nanoimprint (NIL), and other potentially disruptive patterning technologies drew strong attendance and elicited spirited discussion. Seagate‘s Xia-Ming Yang talked about experimental results that underscore the challenges of fabricating high-resolution master templates for bit-patterned media (BPM), the leading candidate to replace the current magnetic recording head technology.

E-beam clustering: Benefits and concerns

Electron-beam lithography, although the sole method for achieving the potential precision and resolution needed, suffers from very slow write-time throughputs that can stretch on for days, according to Yang. Other issues include e-beam tool availability, photoresist and metrology limitations, and difficulties in uniformly patterning and accurately placing the small dots that make up the magnetic BPM nanoarrays.

Once the master is fabricated, thousands of disks would be replicated from it using UV nanoimprint techniques. Despite the obstacles, Yang said that the EBDW and NIL combination “is probably the only way to go for manufacturing,” because of single-digit-nanometer-scale resolution capabilities, throughput, and cost requirements. But for the technology to achieve production worthiness, “a rotating-stage electron beam with high beam current is urgently needed for template mastering.”

TSMC‘s Burn Lin, credited with being a prime mover behind the semiconductor industry’s adoption of immersion lithography, said, during a panel discussion on massively parallel tools for nanotechnology, that multiple electron-beam direct-write systems — with their superior depth-of-focus and elimination of optics, mask CD, and overlay costs — could provide a better solution than EUVL for next-generation chipmaking requirements.

For EBDW schemes to achieve the required throughput, “obviously” they need to be clustered, Lin said. “Let’s say that I’m clustering five of the 20 wafer/hour tools. If one of them goes down, you lose only 20%; you have the other 80% going,” he explained. “If you have an [EUV] scanner going down, you lose the throughput altogether. If you cluster the [e-beam tools], there’s a chance that you can share your intrafield or interwafer data, so in this way you are saving the cost of your data processing unit.” Data rates can thus be slowed because tasks are done in parallel, he noted. “You don’t have to push for the state-of-the-art of the data transmission rate.”

Acknowledging the “real challenges” in multiple EBDW, Lin listed several concerns. “You have to worry about the brightness of the source, so you can maintain your throughput. You have to worry about those massively parallel electron optics, you have to worry about contamination of the optics, and you have to worry about data rate and the cost of electronics.”

“Not for IC lithography,” but still useful

One quite different but potentially disruptive technology, described by Zyvex Labs’ John Randall in a panel presentation: scanning tunneling microscopy (STM)-based hydrogen depassivation lithography, used in conjunction with atomic layer deposition and epitaxy processes. “Let me say right off the bat, this is not for IC lithography,” he said, acknowledging that throughput will be “abysmally small.” Still, he noted that there are useful applications, such as creating 3D top-down controlled structures in silicon, and perhaps expanding to other material systems.

“We’re using the limit of a thin resist, a monolayer of hydrogen, and just getting electron desorption of hydrogen from a silicon surface,” explained Randall. “You can drop down into tunneling range and vibrationally excite the single silicon hydrogen bond and in fact pop off individual hydrogen atoms” — which, he said, could in principle enable “absolute perfection” in lithography.

Noting that a single STM tip will be very slow, Randall said the work will focus on going parallel, using MEMS-based closed-loop nanopositioners (currently in development at the National Institute of Standards and Technology), “at first in a moderately parallel way, with [scanning probe] arrays where each individual scanner has three dimensions, three degrees of freedom, and closed-loop positioning capabilities.”

Admitting that this “will be very tough,” Randall noted that “we can look back to the last century and pull out some old tricks.” One such ploy would be “taking advantage of the silicon lattice for the in situ fiducial grid, to keep very good precision — in fact, absolute precision — not only in critical dimension but in pattern placement.” — T.C.

by Ed Korczynski, Senior Technical Editor, Solid State Technology

In controlling the manufacturing processes used for advanced nanoscale IC, the aspects of metrology once ignored as “just noise” are now essential signals that must be controlled. Where to draw the line, and how close is “close,” are just some of the challenges in ensuring that data streams become productive information for fabs. Metrology sessions at SPIE this year shone fractional wavelengths of light into the darkness of controlling accuracy, too.

When IC features were greater than the wavelength of light used in photolithography — and likewise much greater than a countable number of physical atoms — there were many aspects of manufacturing which we could simply ignore. With the smallest IC feature, typically defined by the minimum half-pitch spacing between lines, now reaching ~45nm (which is less than one-quarter of the 193nm wavelength used in litho) we now experience “second-order” and “third-order” effects which must be controlled.

Click here to read more…

by M. David Levenson, Editor-in-Chief, Microlithography World

(Fourth in a four-part series)

Avoiding unprintable structures will be essential for manufacturing 45nm and smaller circuits, and that was reflected in a series of papers on design for manufacturing and design rule restrictions, some of them quite aggressive.

Avoiding unprintable structures will be essential for manufacturing 45nm and smaller circuits, and that was reflected in a series of papers on design for manufacturing and design rule restrictions, some of them quite aggressive. Keynote speaker Andrew Kahng of UC San Diego introduced the idea of “design for equipment” (DfE), where designers learn to accommodate specific patterning and metrology capabilities. The most dramatic example was presented by a collaboration of NXP semiconductors and ASML which found a way to make 45nm logic cells using a 0.93NA 248nm scanner. They key was a very constrained grating like layout. However, the area of the cells increased ~15% compared to a more typical layout for high-NA immersion production.

The idea of a 1D grating-like design was taken to an extreme, perhaps, by Mike Smayling and Tela Innovations, who showed how libraries of standard cells could be converted to 1D layouts and snapped together. Simulations using the Brion Tachyon system revealed much improved process latitude, and many Tela designs were actually smaller than their 2D predecessors.

In his keynote for the DfM sessions, Lars Liebmann of IBM foretold an era of “hard DfM” where all challenges would have to be addressed through computation. That differed from the recently past time of “soft DfM” where marginal improvements were occasionally made by optimizing geometries, but much more of the progress resulted from improved tooling. That time is over. From now on, according to Liebmann, all tradeoffs will have to be integrated into one seamless design and process flow. To begin getting the industry to speak the same language, Liebmann announced a project within the Silicon Integration Initiative to capture and regularize DfM terminology and mentioned nine other DfM related programs at Rensselaer Polytechnic Institute.

The increased apparent importance of computational lithography launched something of an arms race among OPC and EDA companies. It began, as these things do, with Brion/ASML announcing an improved Tachyon monolith for faster OPC optimization. Gauda, a stealth start-up, decloaked to tout its method of cheap commodity graphical processor units for OPC, for only $300,000. Mercury Computer Systems announced that its cell-processor based blade accelerator (CPA) had been qualified to run Mentor Graphics’ nmOPC for 45nm production at IBM. Previously, IBM had been using BlueGene for heavy-duty litho simulation. The smallest Mercury 25U dual cell-based blade system (with 14 blades) runs at 1 teraflop for $250,000, according to Jim McKibbin of Mercury. On a network, it looks like just another node. Since the Cell can be reprogrammed using software (unlike an FPGA) and is intrinsically parallel (unlike x86’s), Mentor and Mercury believe the system is more flexible than other commercial computational lithography hardware.

No one outside Intel knows what it is using for its production and pixelated mask designs, and rumors of even faster kludges could be heard in the hallways. So, while there is no new wavelength or exposure tool, there seem likely to be new litho computers and applications. — M.D.L.


Click here for all the separate analyses from this package of SPIE writeups: a list of what’s still needed to enable 32nm generation chips printed with double patterning technology; problems, yet promise, in development of EUV; litho projects (e.g. phase-shift and high-index immersion) that are falling behind the curve, and others that need a boost (e.g. imprint); and clever new technologies added to the equation, and what’s sparked an “arms race” among OPC and EDA firms.

By Tom Cheyney, Small Times’ Senior Contributing Editor

March 7, 2008 — Although double-patterning immersion and extreme ultraviolet (EUV) lithography may be the next-generation approaches currently favored by the semiconductor industry for scaling down the technological roadmap, several other technologies have made significant progress and could emerge as alternatives, both for future chipmaking needs as well as for high-density patterned disk media and other nanomanufacturing applications.

At last week’s SPIE Advanced Lithography conference, presentations on electron-beam direct write (EBDW), nanoimprint lithography (NIL), and other potentially disruptive patterning technologies drew strong attendance and elicited spirited discussion. Seagate‘s Xia-Ming Yang talked about experimental results that underscore the challenges of fabricating high-resolution master templates for bit-patterned media (BPM), the leading candidate to replace the current magnetic recording head technology.

Electron-beam lithography, although the sole method for achieving the potential precision and resolution needed, suffers from very slow write-time throughputs that can stretch on for days, according to Yang. Other issues include e-beam tool availability, photoresist and metrology limitations, and difficulties in uniformly patterning and accurately placing the small dots that make up the magnetic BPM nanoarrays.

Once the master is fabricated, thousands of disks would be replicated from it using UV nanoimprint techniques. Despite the obstacles, Yang said that the EBDW and NIL combination “is probably the only way to go for manufacturing,” because of single-digit-nanometer-scale resolution capabilities, throughput, and cost requirements. But for the technology to achieve production worthiness, “a rotating-stage electron beam with high beam current is urgently needed for template mastering.”

TSMC‘s Burn Lin, credited with being a prime mover behind the semiconductor industry’s adoption of immersion lithography, said, during a panel discussion on massively parallel tools for nanotechnology, that multiple electron-beam direct-write systems — with their superior depth of focus and elimination of optics, mask CD, and overlay costs — could provide a better solution than EUVL for next-generation chipmaking requirements.

For EBDW schemes to achieve the required throughput, “obviously we have to cluster them,” he explained. “Let’s say that I’m clustering five of the 20 wafer-per-hour tools: if one of them goes down, you lose only 20% — you have the other 80% going. If you have an [EUV] scanner going down, you lose the throughput altogether. If you cluster the [e-beam tools], there’s a chance that you can share your intrafield or interwafer data, so in this way you are saving the cost of your data processing unit. And then your data rate can be slowed down, because you are doing many things in parallel, so you don’t have to push for the state of the art of the data transmission rate.”

Acknowledging the “real challenges” in multiple EBDW, Lin listed several concerns: “You have to worry about the brightness of the source, so you can maintain your throughput. You have to worry about those massively parallel electron optics, you have to worry about contamination of the optics, and you have to worry about data rate and the cost of electronics.”

One quite different but potentially disruptive technology was described by Zyvex Labs’ John Randall during his panel presentation: scanning tunneling microscopy (STM)-based hydrogen depassivation lithography, used in conjunction with atomic layer deposition and epitaxy processes. “Let me say right off the bat, this is not for IC lithography,” he said. “The throughput is going to be abysmally small yet there’s going to be useful things we think we can do with it…. We will be able to create 3-D top-down controlled structures in silicon and perhaps expand to a large number of other material systems.”

“We’re using the limit of a thin resist, a monolayer of hydrogen, and just getting electron desorption of hydrogen from a silicon surface,” explained Randall. “You can drop down into tunneling range and vibrationally excite the single silicon hydrogen bond and in fact pop off individual hydrogen atoms, in principle allowing you to [achieve] absolute perfection in lithography.

“Realizing the single STM tip is going to be very slow, what we plan to do is go parallel [using] MEMS-based closed-loop nanopositioners (currently in development at the National Institute of Standards and Technology), at first in a moderately parallel way, with [scanning probe] arrays where each individual scanner has three dimensions, three degrees of freedom, and closed-loop positioning capabilities.”

Admitting that this “will be very tough,” Randall noted that “we can look back to the last century and pull out some old tricks.” One such ploy would be “taking advantage of the silicon lattice for the in situ fiducial grid, to keep very good precision, in fact, absolute precision, not only in critical dimension but in pattern placement.”

Feb. 29, 2008 – Zygo says it has acquired the assets of Solvision, a Canadian provider of visual inspection equipment, for an undisclosed amount.

The deal, which includes Solvision’s Singapore subsidiary, gives Zygo an entry into in-line inspection of flip-chip substrates and packaged ICs, and Solvision’s Fast Moire Interferometer offers rapid 3D inspection, the company noted in a statement. Jim Northup, president of ZYGO’s metrology solutions division, noted that it is a continuation of a strategy to seek growth in inline process control, and move beyond the wafer.

“Zygo’s strength in high precision metrology, coupled with the high-speed vision capabilities we have just acquired, positions us very well to serve the full semiconductor market,” he stated.

Development of the 3D tool and flip-chip substrate equipment will occur in Montreal, while the IC packaging inspection products will continue to be developed and manufactured in Singapore.

Feb. 26, 2008 – In the span of a week, UK-based Bede, a provider of X-ray metrology tools, said that it had finally received an offer from a suitor after being first approached last summer, but that the offer was below current market value.

“Discussions have now been terminated,” the company said in a statement, adding that it seeking a suspension of its securities tradings while it “considers its strategic options.”

In a Feb. 12 filing, Bede noted that it is currently in a tough financial position in the short- and medium-term — its current net position is £1.1M, with borrowings expected to continue within overdraft facility limits until the end of the current quarter, and “further finance will be required beyond the current quarter.

by James Montgomery, News Editor, Solid State Technology

Feb. 26, 2008 – The proposed combination of KLA-Tencor and ICOS Vision Systems makes sense for both sides, both financially and in market positioning, though as always some questions need to be answered about just how smooth any such M&A will be.

Days ago KLAC offered to buy ICOS for about $465M in cash. During ICOS’ conference call discussing its recent 4Q results, ICOS president/CEO Anton De Proft noted that board members holding ~20%-25% ownership stake have committed to the offer.

A Reuters report cited analysts indicating the price is right — ICOS’ shares are fresh off a 2.5-year low, and could take nearly as long to climb to KLAC’s proposed offer). The report also noted that a vast majority of ICOS shares are in “free-float,” meaning KLA-Tencor needs to win support from a large number of individual shareholders rather than a few large investor groups.

ICOS and RVSI have been speculated as other possible takeover targets in backend inspection going back at least to the multiparty tug-of-war for August Technologies two years ago.

Gartner Dataquest research VP Bob Johnson thinks the deal gives KLA-Tencor an entry into the backend part of the metrology sector, “one which they currently do not participate in, and one where Rudolph/August has a strong position,” having been the origin of the company’s macro systems, he told WaferNEWS. Further, “ICOS does have proven inspection capabilities for products which do not require sub-100nm capabilities – this is an area where KLAC has not been historically strong, so this technology can help there.” He added that there also may be some synergy between ICOS’ solar wafer inspection capabilities and KLA/ADE’s raw wafer inspection technology.

From a business standpoint, Johnson noted that KLAC is using its cash to grow faster than the overall market, “because the growth rates of their fundamental markets have slowed. This appears to be one of the few companies left with potential synergy with KLAC’s core technology (inspection),” he said. Also, since this is a cash transaction, KLAC gains revenue and profit with no stock dilution, so its EPS will go up, he pointed out.

One thing to watch for, as always in M&A deals, is how proposed synergies actually play out across the organizations, including mixing business cultures — something possibly more at play in this deal combining US and European organizations. “Whether there will be a culture clash remains to be seen,” Johnson noted.

by Bob Haavind, Editorial Director, Solid State Technology

Feb. 26, 2008 – While 193nm immersion lithography has taken the industry to 45nm it will get progressively tougher to move below that. Lithographers will struggle with shrinking margins, more metrology and process control, along with extensive computation, plus double exposure for critical layers which multiplies their problems.

The SPIE Advanced Lithography Conference in San Jose, CA, is exploring this road ahead. Below 40nm, lithography becomes much more sensitive to stepper variation, and computational optimization will be required, according to Martin van den Brink, EVP, ASML, in a plenary talk. Lithography uniformity becomes critical, and metrology will be very difficult, especially with double exposure.

“Stepper controls are not well used today,” he said. It is possible to adjust dose point-by-point across the wafer, he suggested, but only with a tremendous amount of computation. Angle-resolved scatterometry feedback loops in production with a DoseMapper recipe might provide optimization. There also must be increased feedback from manufacturing to design, van den Brink added.

While EUVL continues on track for 22nm, he believes that memory makers will make strong use of double-patterning before going to EUV. Overlay and CD control using application-specific scanner tuning with fast, integrated metrology feedback will help make this possible, according to van den Brink. The process will also require pattern-split software.

D. Mark Duncan, president/CEO of Micron, agreed in his plenary talk that double patterning will be needed for future memory cells, but as the shrink continues, he foresees accelerating patterning costs. Going to 3D will forestall the move below 32nm for a time, he suggested.

Pushing NA higher for immersion lithography using new lens materials and a higher index-of-refraction fluid, could help reduce the challenge. But this will not be an option for 22nm, pointed out Toshikaya Umatata, GM of development headquarters for Nikon Precision, in a presentation a day earlier.

“High index does not match with the Roadmap,” he stated. Instead, at 22nm, EUVL will be the only candidate for general patterning, he added.

Micron’s Duncan did an analysis that supported this view. “I am confident that EUV is at the end of the Roadmap,” he stated. He sees EUV as the route to lowering rising patterning costs in the 2010-2012 timeframe. Duncan pointed out that chipmakers have to make massive bets on new factories, spending $3B on a new fab, much more than for an auto assembly plant, for example. Only an offshore rig, at $1.5-$2B, comes close. Yet, Duncan showed that the incremental gain in dollars/die would be shrinking significantly over the next few nodes.

ASML’s van den Brink took note of this investment concern in discussing the push toward higher productivity exposure tools. An analysis shows that acceleration is much more critical than scan speed, he explained. Right now, he said, an immersion tool can move at 600mm/sec, but to boost productivity further, the goal is to push that beyond 1m/sec, with lower defects and even tighter metrology overlay capability, moving from 4nm down to 2nm. Current 193nm immersion tools reach an NA of 1.35 without new lens materials and higher-index fluids, which is scalable down to 38nm, he said. Then double patterning, and eventually EUVL, will be needed.

While some experimental EUV tools are in operation, the technology will not be suited for volume production until an entire infrastructure is in place, pointed out Ben Eynon, associate director of SEMATECH’s lithography division in Albany, NY (assigned from Samsung). He reviewed the EUV infrastructure status at a pre-conference session organized by KLA-Tencor.

A major concern is an X-ray source that can deliver sufficient power to the wafer to meet reasonable throughput goals. Current sources can deliver 6W to an intermediate focus point, but 180W will be needed. “We need two orders of magnitude improvement, and that is a big challenge,” he said.

One source candidate is a discharge-produced plasma (DPP), but this involves a heavy heat load and does not scale well. More promising, according to Eynon, is a laser-produced plasma (LPP), using droplets of tin. The burst power needs to be focused, but the scalability is promising, he said.

EUVL will also require a resist that provides high resolution, allows adequate throughput, and minimizes line-edge roughness (LER). Right now, candidate resists that meet one requirement fail at the others, so improved materials will be needed to meet all three together.

Reflective reticles are essentially mirrors that must be flawless, Eynon explained. Any flaw on the glass substrate is greatly amplified after depositing 80 alternate layers of silicon and molybdenum over a 10hr period — any pit or particle would build up, making the reticle useless. Methods are being developed to remove any particles over 4nm high, and each layer may be smoothed out as deposition proceeds to minimize potential flaws. The eventual target is about 1 defect every 5 blanks or so, Eynon said.

For production, an EUV aerial imaging tool will be needed, he said, but the market will be so small that SEMATECH will need to help in the development.

“EUV still looks more cost-effective than double exposure, if we can develop it in a reasonable time,” Eynon explained. “That’s why we’re still pushing.” — B.H.