Category Archives: Metrology

Getting on the same page


December 1, 2001

As the economy becomes increasingly global, ISO 14644 and 14698 will allow accurate apples-to-apples comparisons of cleanrooms around the world

by Chris Anderson

Click here to enlarge image

No one ever thought writing a set of global standards for cleanrooms or controlled environments would be easy or fast. In fact, as we stand on the brink of 2002, it has been more than eight years since contamination-control professionals from varied industries and from around the world first convened to draw up ISO standards 14644 and 14698. And it could still be another six months or more until the last of the 10 separate standards are released.

Interestingly, the ISO cleanroom standards derive their power from their very basic and general language. As Dick Matthews, chairman of ISO Technical Committee 209 which is charged with writing the standards points out, the standards are not created to describe how a company must manage its cleanroom operations. Instead, the standards are in place to create a basic framework of cleanroom operation one that allows for the accurate apples-to-apples comparisons of cleanrooms operating in England, France, Singapore and the United States or any ISO-participating country.

Click here to enlarge image

Dick Matthews, chairman of ISO Technical Committee 209, which is charged with writing the ISO cleanrooms standards.

As the economy becomes increasingly global, having ISO 14644 and 14698 in place allows worldwide buyers of components and products to have a single source for measuring supplier companies' operations without having to understand the myriad country-specific standards that have held sway in the past.

In short, global standards are good for business.

Between buyers and sellers
The challenges in writing a set of global standards are many. First, both 14644 and 14698 need to serve cleanroom operations in the broadest spectrum of industries. This includes everything from manufacturers of integrated circuits with sub-micron line widths, to automotive spray-paint booths that merely want a cleaner environment that will prevent blemishes in finish—and every cleanroom application in between. For this reason the standards are created as generic documents whose purpose is to create a wide range of parameters applicable, as needed, across this broad spectrum.

Still, some businesses might take issue with the contents of many of the standards. But Matthews is quick to point out that this is not a problem. “Some things won't apply to some of the industries,” he says. “We are basically providing a menu and industry-specific people can generate whatever they need to provide clean space for their business.”

The ISO standards serve as a way for everyone to converse about topics using the same terminology, while providing accurate comparisons of operations in different parts of the world. Mike Fitzpatrick, program director of Lockwood Greene Advanced Technologies (Tempe, AZ), admits he was shocked by the sheer number of standards suggested in ISO 14644-4 for the design and construction of cleanrooms.

“When I looked at them I felt that if you were to implement all of those requirements to the letter you would spend more on ISO validation than you would building a facility,” he says. But a subsequent conversation with a customer enlightened him to the real uses of this particular standard. “When I asked him if he had read 14644-4 he said he had,” Fitzpatrick explains. “When I asked if he wanted me to do all that, he said 'No, I want you to go to table such and such and give me that portion.' So I see that people will be picking and choosing which parts to apply.”

This is music to Matthews' ears, as this is exactly how the standards are meant to be used. “The selection of items is strictly between the buyer and seller,” Matthews says. “It's not 'you will do it this way or else,' there is no policing function as such with these standards.”

Further, some see the standards as a way to bring industry newcomers charged with creating cleanrooms up to speed. “There are an awful lot of people coming into the industry right now that don't have cleanroom experience,” says Roger Diener, contamination control engineer with Analog Devices (Wilmington, MA). “These documents give a very organized framework for them to start thinking about how they are going to operate that cleanroom: what kind of systems they need in place; what they need to know about [garments]; what equipment they can bring in and out and what they need to know about personnel.”

While eight of the 10 standards for cleanroom operation serve in an advisory capacity, the first two standards released—ISO 14644-1 which establishes air-quality classifications and 14644-2 which sets forth the testing methods necessary to prove compliance with 14644-1—are set in stone. Partly because there is no room left for interpretation and partly because the two standards are well conceived, both have received very favorable reviews from the industry. “14644-1 is a great document in my opinion,” says Fitzpatrick. “But in one sense it is easier for it to be a great document because it is based on science—there is no room for interpretation.”

Standards for today's technology
Though word of its official demise has not yet come from the General Services Administration, the creation of ISO standards 14644-1 and 14644-2 may have sounded the death knell for Federal Standard 209E. Used as the de facto standard for cleanroom operations in many countries outside the United States, 209E provided clear guidance for years. While the two standards are similar in many ways, ISO 14644-1 goes it three better. Three, since it contains three new classifications of air cleanliness beyond the bounds of FS 209E—two are cleaner then 209E's Class 1 and one less clean than Class 100,000.

The two cleaner air classifications are significant. “Companies have moved off Class 1 to creating environments cleaner than that in recent years,” says Ken Goldstein, principal with Cleanroom Consultants Inc. (Phoenix). “Well, once you go off the scale, there are no definitions to describe what you are doing.” To combat this, ISO 14644-1's two cleaner classifications ISO Class 1 and ISO Class 2, now clearly define these cleaner levels and allow companies that are pushing the envelope of air cleanliness to show exactly how clean their controlled environments are.

To make extrapolation between FS 209 (which counted particles 0.5 micron per square foot), ISO 14644-1 lowers the size limit to 0.1 micron and measures particles in volumes of square meters. Measuring for smaller particles in a clean environment is very important considering the shrinking geometries of today's ICs says Chuck Berndt, principal of C.W. Berndt Associates (Highland Park, IL). “Since 209E, we are making smaller chips with smaller geometries,” he says. “These days we're talking about pushing 0.13 microns. You can't do these things in the old 209E Class 1 cleanroom and certainly not in Class 10. What 14644-1 brings to the party are the tools that we didn't have before in which these kinds of new technologies can effectively flourish.”

Goldstein says the 0.5-micron size was no longer pertinent for another reason. “For the microelectronics people, 0.5-micron particles are not a significant problem anyway,” he says. “There aren't enough of them in the air to worry about, which is why people have been concerned with 0.2- and 0.1-micron particles for a long time.”

While high-tech lauds the inclusion of two cleaner air standards introduced by 14644-1, there are other industries that equally appreciate the introduction of a “dirtier' air standard that goes beyond the 209E's Class 100,000. This class, ISO Class 9, is the equivalent of a room with 1,000,000 particle per square foot and is applicable for the production of bulk powders and other substances to ensure their relative purity.

Previously, if these industries wanted to adhere to a standard for air cleanliness they would have needed to be 209E Class 100,000. “The new standard is for baseline people entering the contamination-control world at a level that is not as stringent as the inhabitants of the previous world,” says Goldstein. He notes that those who stretched to reach Class 100,000 even though the operation didn't need to be anywhere near that clean were “using sledgehammers for thumbtacks.”

“Now [with ISO Class 9] they have something acceptable that actually defines cleanrooms that are as applicable to them are the [lower classes] are to Intel,” Goldstein says.

Also, since all ISO standards come up for review every five years, ISO 14644-1 is not locked into the nine classes it currently lists, though it seems unlikely there will be new classes added anytime soon. “Once you get to Class 9, there are some office buildings that are cleaner than that,” says Bob Mielke, senior metrology engineer with Abbott Laboratories (North Chicago, IL). “So the chance of going higher than Class 9 are slim.”

On the cleaner side, there are other limits with which to contend. First, existing optical-particle counters are operating very near their limit when dealing with 0.1-micron particles. And ISO Class 1 is extremely clean. “Anybody who claims they have an ISO Class 1 is either talking about an extremely small area or is lying about it,” says Goldstein. Both of which indicate it may be some time before manufacturers are stretching the limits of ISO Class 1.

What does it all mean?
So how will the operations of manufacturers with cleanrooms be affected by the new standard? Probably not significantly, say those in the industry. For longtime cleanroom operators it may be merely writing down a plan that shows all of their policies and procedures for manufacturing in their cleanrooms, along with the regular monitoring of the clean environments to support their cleanliness classification.

The pharmaceutical industry—since it is government-regulated—is watching closely to see how regulatory agencies respond to ISO 14644 and 14698. So far there is no word from the Food and Drug Administration (FDA) regarding the cleanroom standards and Mielke, for one, is not surprised. “Let's say hypothetically that the FDA adopts 14644 part 1 and part 2. They may not say it publicly, but you'll start hearing that they are writing [violation notices] because you aren't meeting those standards, so you'd better start. If that happens, in effect, it will become the de facto regulatory standard,” he says.

Mielke anticipates that there will continue to be some small discrepancies between regulatory agencies from different countries, but the benefit will be that all pharmaceutical manufacturers around the world will be measuring their cleanrooms using the same criteria and using the same scientific vocabulary.

Meaning that the most lasting benefits for pharmaceutical companies will be the same as it is for other industries adhering to the ISO cleanroom standards. “When all is said and done, no matter what else, the ISO classes mean we still have the same number of particles per cubic meter within each class, no matter where that air is used and no matter what industry,” says Matthews. Combined with the more than 400 pages of supporting information in all the standards, ISO 14644 and 14698 are powerful tools. “When taken all together it's a tool that allows anybody, at any place in the world to operate a cleanroom to a common-denominator criteria,” Matthews says.

Chris Anderson is a special correspondent to CleanRooms Magazine. He resides in Portland, Maine.

_________________________

IEST's role undiluted by global cleanroom standards release
MOUNT PROSPECT, IL—Years ago, when the idea of developing global cleanroom standards first emerged, some in the industry openly wondered what the prospects were for the Institute of Environmental Sciences and Technology (IEST). Indeed, some thought global standards would supersede the work of the 48-year-old educational standards and practices organization and lead to its demise.

Nothing could be further from the truth. In fact, the release of ISO standards 14644 and 14698 should highlight the importance of IEST's ongoing work of developing recommended practices for the wide range of cleanroom operational issues.

Dick Matthews, chairman of ISO Technical Committee (TC) 209, which is responsible for writing the ISO standards, likens them to a skeleton that specific industries will flesh out with their own specific manufacturing needs.

“The fact is ISO, which is fantastic, just gets us all on the same page,” says Chuck Berndt of C.W Berndt Associates and chair of IEST's Standards and Practices Committee 5: Environmental Control. “But the very substantive underpinnings for these standards are documents like the IEST's recommended practices which are a more specific road map for operations.”

IEST also serves as the secretariat in the United States for ISO TC 209, and in some cases has provided documents and direction for particular standards writing to ISO working groups. Mike Fitzpatrick of Lockwood Greene is the chair of IEST's Working Group 28, which provides recommended practices for minienvironments. “About half way through our document, I contacted Dave Ensor [currently working on ISO 14644-7 for minienvironments] and we did a gut check with each other to make sure we didn't have any contradictory information in either documents.”

Fitzpatrick sees his group's soon-to-be-released recommended practice (RP) 28 for minienvironments as an important addendum to ISO 14644-7 when it's published. “We tried to cover minienvironments from both the microelectronics side and the pharmaceutical side, but soon realized that there was just two much separating the two industries to do it in one document,” says Fitzpatrick. “So we ended up focusing on microelectronics.”

From Fitzpatrick's view, the coming ISO 14644-7 has taken a decidedly pharmaceutical slant. The result is that many companies using minienvironments for microelectronic processing will find more specific, pertinent information for their operations contained in IEST's RP 28.

“The ISO standards are, by design, broad brush and generic,” Fitzpatrick notes. “The IEST, through the working groups and recommended practices, will fill in the gaps more definitively for particular markets and particular applications.”

_________________________

The ISO cleanroom standards

ISO 14644 Cleanrooms and associated controlled environments

14644-1: Classes of Air Cleanliness. One of the few standards with mandatory criteria, part 1 defines nine ISO cleanroom classes, two cleaner and one “dirtier than Federal Standard 209E.”

14644-2: Specification for testing and monitoring to prove compliance with ISO 14644-1. This standard specifies the testing requirements to show compliance with 14644-1 and the mandatory tests needed to show compliance.

14644-3: Metrology and Test Methods. Specifies the metrology and testing methods for characterizing the performance of cleanrooms and clean zones.

14644-4: Design and Construction. Covers all the aspects and specifies the requirements of cleanroom design and construction.

14644-5: Cleanroom Operations. Covers the basic requirements of operating a cleanroom regardless of the class of cleanliness.

14644-6: Terms, definitions, & units. Defines those terms that require more specific description than is found in normal dictionary sources

14644- 7: Separative enclosures (clean-air hoods, glove boxes, isolators and minienvironments). Specifies the minimum requirements for the design, construction, installation, testing and approval of separative enclosures in those respects where they differ from cleanrooms.

14644-8: Classification of airborne molecular contamination. Covers the classification of molecular contamination in terms of airborne concentrations of specific compounds or chemicals and provides a protocol that includes test methods and analysis.

ISO-14698 Cleanrooms and associated controlled environments—Biocontamination control

14698-1: General principles and methods. Describes the principles and basic methodology for a formal system to assess and control biocontamination in cleanrooms.

14698-2: Evaluation and interpretation of biocontamination data. Gives guidance on basic principles and methodology requirements for all microbiological data evaluation obtained from sampling for viable particles in specified risk zones in cleanrooms.

Editor's note: Standards in bold have been released.

MINIENVIRONMENTS

by John Walters and Richard Dow

It's the supplier's responsibility to deliver a successful solution, provided it is given accurate information about the application. Time to get to know your cleanliness and airflow requirements.

Before entering into the critical minienvironement integration strategies, let's first take a look at the basics.


Minienvironments are typically used in connection with standard mechanical interface (SMIF) pods to provide a total isolation system that keeps the product/wafers from being exposed to contamination that operators and fabs induce. Seen here is Asyst’s FasTrack Continuous Flow Transport (CFT) system in action.
Click here to enlarge image

A minienvironment is essentially a small cleanroom that controls the environment around the process tool and/or other critical application, instead of an entire facility. The intent is to provide an ultra-clean environment (better than ISO Class 3) where it is needed, and protect the product from the particle burst that occurs in fabs. Minienvironments are typically used in connection with standard mechanical interface (SMIF) pods to provide a total isolation system that keeps the product/wafers from being exposed to contamination that operators and fabs induce.

Semiconductor tool manufacturers have been incorporating minienvironments into tools for some time now. In the beginning, they typically did this to the process end (back-end) of the tool, to ensure the environment would not affect the process. The front-end of the tool, where the cassette/wafers are loaded, was left open. But with die sizes below 0.25 µm and shrinking, the focus has changed to protect the product on the front-end as well. The challenge in designing enclosures for these front-end systems is integrating three different environments that will function in a linear fashion. The end result is a cleaner process, a cleaner product and better yields.

Minienvironment integration
When integrating process tools and enclosures, it's the supplier's responsibility to deliver a successful solution, provided it is given accurate information about the application. That information includes specific automation applications, material requirements, cleanliness requirements and airflow performance requirements. Once successful in transferring this information to the designers, the supplier is required to integrate all the components necessary to build the application. This application is then integrated into another tool to provide a cleaner solution to the end user.

Sound complicated, well it is.

The purpose is to isolate the semi-clean (back-end) application from the clean product by creating a particle-free environment that will protect the product. This process becomes more complicated as the customer places demands on the supplier without considering the cleanliness of the tool. The design of the tool then becomes essential to a successful integration. Outline a plan of action to design, balance and certify the successful integration of tools to produce a clean application.

When the decision is made to design a minienvironment application, it is easy to go off and make considerations that have multi-faceted ramifications. It is very difficult for a single designer to consider every angle of the design. This is where a team concept comes into play. Team design concepts allow different players to input ideas into a master designer, allowing the integration of all aspects of the tool.

A few of the considerations would include: static and dynamic structural loading; micro and macro electrical design; automation; airflow; ESD; airborne molecular contamination (AMC); and particle management. Most companies will partner with an outside firm to ensure that all aspects of the design are contemplated.

For the purpose this article, lets consider a metrology tool design. They are all about the same size and shape and they all perform their tasks with optics and sensors.

The next assumption is that some form of automation is used to load or unload the product from a cassette or SMIF application. Even though there is a huge difference between open cassette and SMIF, we should make the assumption that both deserve the same degree of consideration from a general design standpoint.

Because airflow dictates many of the environmental performance characteristics downstream, lets begin there. Most metrology tools use optics or some sort of sensitive sensor that can be affected by moderate levels of contamination. In other contamination-sensitive applications, it could be the load lock of a PVD or sputter tool, the unload station of a wet bench or the input/output (I/O) station on a photo tool. Regardless of the application, the main airflow considerations are as follows:

  • ISO Class 3 or better air delivery at the ULPA filter face.
  • Positive airflow originating from the most sensitive or critical area and sequentially cascading into less critical areas.
  • Airflow exit paths into the cleanroom through the bottom of the tool, or through the I/O station.
  • Airflow pressure should be electro-mechanically adjustable to compensate for conditions internal or external to the enclosure.

The concept is to make the optics or critical area of the metrology tool steady-state airflow using a variable speed controller. The I/O area needs to be equally as clean, but must make airflow adjustments automatically depending on ambient pressure conditions existing inside the cleanroom.

The Asyst Technologies Smart CMS will adjust the fan filter unit (FFU) speed within the applications I/O station, or wafer management system. For example, when the load port(s) are in the closed position there is a smaller volume than when the load port(s) are open, exposing the product and creating an increased volume.

Therefore, when volume changes occur, the differential pressure ratio between the inside of the tool and the ambient cleanroom changes. Within a given range, the Smart CMS reads and adjusts fan-filter velocity for a given differential pressure set point, whether the changes occur internally or externally of the tool. This maintains a constant pressure inside the enclosure, which keeps particles out of the minienvironment.

The next design consideration is low out-gassing material selection process. Industry standards within the semiconductor and disk-drive industry have specified that no silicone-based products or plasticizers, such as dioctylphthalate, shall be used in any cleanroom applications. Most analytical laboratories will be able to determine if these chemicals exist in potential materials and answer questions on specifications that are currently in practice. Depending upon the product being manufactured, and its related process, the team should make choices as to what chemicals need to be reduced. The key requirement in material selection is not to introduce potential contaminates.

Balancing airflow is a difficult step in the set-up and operation of any tool with an enclosure application. The task is made easy when a set-up of the first article is performed, and instructions are written to give to a field-service installation team. To establish a baseline procedure, tools like the Shortridge velocity meter and a DI fogger can confirm optimized settings.

However, the settings will change from room to room and fab to fab. That's why it is important to make a broad adjustable design base. This is accomplished by designing some airflow adjustablility into the optics side of the tool. After airflow balancing has been performed, a steady-state condition can be set.

Use a DI fogger to further verify airflow optimization so that this balanced condition can be repeated and you can record the settings on the variable-speed controller, as well as the Smart CSM. It's also important to record the sequence of the setup and integrate it into a procedure that can be used in future installations.

Certification criteria are established early in the design phase. The designed parameters are a function of the process where the tool is going and the product sensitivity limits. Primary certification criteria would include airflow, particle counts, and particles per wafer pass (PWP).

Of all the primary tests, PWP is the most important. It transcends the link between what is in the air and what actually lands on the wafer. Secondary certification criteria would be laminarity, ESD, light, noise and recovery.

The acceptance criteria needs to be agreed upon ahead of time between the buyer and the seller. Once the certification criteria is satisfied, and the customer has taken ownership of the tool, the responsibility of cleaning and monitoring the tool is clearly on the end user, unless otherwise agreed upon.

Design, installation and certification should be the responsibility of both the buyer and the seller. A team approach in all instances is a winning combination. This makes troubleshooting and subsequent design modifications easier, faster and more cost effective for both the customer and supplier.

Successful minienvironment designs will play an increasingly important role in the OEM equipment design, especially as we move into the 300 mm platform. Increased substrate size and reduced architecture will increase the risks of contamination. Minienvironments are the best weapon against it. If a minienvironment does not perform correctly, the effects will be immediately self-evident.

John Walters is manager of contamination control applications for Asyst Technolgies Inc. Richard Dow is a product manager for Asyst.

__________________________

A brief minienvironment history lesson:
Although minienvironment technology is considered to be fairly new, the concept actually dates back to the 1960s. Some of the early semiconductor production cleanrooms utilized what we would now call minienvironments. But rather than serving as the new contamination-control paradigm, these were actually evolutionary steps between the original Sandia Laboratories “white rooms” and the early Class 100 “laminar” (unidirectional) flow rooms. And so the technology waited on the back burner for another quarter of a century. Another example of older technology evolving can be found in those clean boxes we used to call “laminar flow benches.” The first generation of minienvironments designed and produced in the late 1980s bore a strong resemblance to these contamination control tools. And for some applications, this approach still represents an excellent hardware choice. —Ken Goldstein (see Ken's Unfiltered column on minienvironments on page 42)

By Lise Laurin

WaferNews Correspondent

What can the semiconductor industry learn from the petrochemical folks?

A lot, when it comes to the evolution of advanced process control (APC). In the early days of APC in the petrochem industry, process and instrumentation technologies were considered totally unrelated issues by operating companies.

Process technology and instrumentation vendors didn’t talk to each other or to the operating companies.

The same was true in the semiconductor industry until just a few years ago. During an invited talk at the AEC/APC Symposium in Banff, Alberta, Canada, John Ayala of AspenTech described the history of APC in the petrochem industry.

In the 1960s, only the largest, top-tier petrochem companies worked on process control, and much of the effort was internal, explained Ayala. In the semiconductor industry, AMD, IBM, Infineon, Motorola, and Texas Instruments championed the majority of APC work until as recently as just this year.

In the 1970s, vendors of control hardware began to play more of a role in petrochem APC, and pioneering companies began to create standards to reduce the manpower required to implement each control loop. The emergence of reliable in situ metrology enabled the rapid feedback required for optimum control.

The talks at this year’s AEC/APC conference reflect a similar stage in our industry’s development.

Intel has joined in the effort, even though it has been a self-admitted slow adapter due to the constraints imposed by its copy exact and virtual factory concepts. Intel’s manual process control, while effective, can’t keep up with the volume of data required to maintain control over today’s processes.

“The engineers can’t look at the charts quickly enough,” explained Robert Reuel of Intel. To implement APC rapidly, Intel looked to an outside vendor for APC in its 200mm lithography. The result of this project, limited to overlay control, resulted in Cpk increases of over 15%. While this success will be a model for 200mm fabs, Reuel indicated Intel may develop APC internally for its 300mm fabs.

The need for standards plagues this industry, just as it did the petrochemical industry. According to TI, implementing standards could reduce APC integration time from seven months down to two weeks. But standards alone can’t solve all the integration problems. The current sensor bus standard allows the use of any one of seven buses – requiring sensor manufacturers to support all seven. And, as one of the six panelists at a discussion pointed out, even getting two PCs running Windows NT to talk to each other is another difficulty – one that won’t be solved by SEMI standards.

Ayala indicated that a lack of standardized control systems slowed down the efforts in the early adoption of APC in the petrochem industry. In those days, computing power was extremely limited and mathematical modeling was also in its infancy. Nonetheless, the less sophisticated heuristic models achieved significant improvements.

Yet if we compare the results from these simpler models with complex multivariate controls available today, such as neural networks, simple heuristic models provided only half the benefit. In data presented by TSMC for a lithography process, simple models could not handle the number of different products run through the factory. Using complex multivariate control, however, TSMC achieved a 30% increase in Cpk, a rework reduction of 48%, wafer-out increases of 0.43%, and the operating workload reduced by approximately 75%. UC Berkeley also found that neural networks were more suitable for analysis than simpler linear regression analysis.

The lag time between processing a wafer and receiving the metrology data back at the controller was a major topic at this year’s conference. In another invited paper, Motorola offered four different alternatives to traditional external metrology that would standardize the lag time, preventing oscillations in run-to-run control. Several control vendors discussed methods that their controllers use to minimize the effect of long or inconsistent lag time and over half of the IMA meeting (immediately following the conference) was dedicated to this topic.

The focus of APC seems to evolve yearly. Two and three years ago, talks at this conference focused on etch processing. That focus evolved to CMP over the last two years, and this year lithography arose as the latest process in need of advanced control. In addition to overlay, speakers demonstrated control on exposure and focus, achieving improvements in both CD and sidewall angle control. Intel and Motorola both indicated that APC in lithography enabled them to move away from stepper dedication, easing the constraints of mix-and-match lithography.

In spite of the industry slowdown and travel restrictions imposed as a result of the events of Sept. 11, more than 200 attended the conference. Most papers stressed information that could be used by others to shorten implementation time. Yet, in spite of the significant process and throughput benefits reported for nearly every area of the fab, most IC manufacturers admitted they wouldn’t be buying APC equipment any time soon. It seems funding for productivity improvements is difficult to find when fabs are not at full capacity.

If Ayala’s parallels hold true, however, the next spurt of fab construction will fund major advances in APC.

(WWW.WaferNews.com)

November 15, 2001 – Santa Clara, CA – Applied Materials, a provider of epitaxial (epi) deposition technology to the semiconductor industry, has installed its Epi Centura systems at Analog Devices’ fabs in MA, and CA, where they are being used for next-generation bipolar device production using silicon (Si) and silicon germanium (SiGe) technologies.

Silicon germanium is a key material that enables the fabrication of high frequency, low power chips. One of the most common applications for SiGe is in the formation of heterojunction bipolar transistors, where a small amount of germanium is added to the base of a silicon bipolar device to overcome fundamental gain, speed, and resistance trade-offs in silicon transistors.

Brad Scharf, division fellow and manager of Process Development at Analog Devices’ fab in Wilmington, MA, said, “Our early involvement with SiGe heterojunction transistors with epitaxial bases demonstrated the value of that technology for high-speed mixed-signal applications. After a thorough investigation of different CVD and UHV-CVD SiGe technologies, we chose the Epi Centura for its excellence in productivity, throughput, profile control, and process uniformity. The flexibility of the multi-chamber system and the applications expertise demonstrated by Applied Materials were also positive factors. This system meets all of our requirements for both Si and SiGe production today, and for several future device generations.”

“This multi-system installation at a bipolar process leader like Analog Devices shows our market momentum for both new and sustaining epi technologies,” stated Per-Ove Hansson, GM of the Epi Substrate Division at Applied Materials. “We have added many new features to the Epi Centura for advanced epi processing, including automated setup of complex germanium profiles, integrated preclean, and integrated metrology that will help our customers meet both current and future epi processing needs.”

September 28, 2001 – Flanders, NJ – Rudolph Technologies and Therma-Wave, Inc., today announced that they have reached a settlement in their Patent Interference proceeding.

As a condition of the settlement, Therma-Wave filed a concession of priority in the Interference based upon a review of the evidence presented by Rudolph. In exchange Rudolph has agreed not to assert its patent against Therma-Wave’s products. No financial consideration was paid by either party. Other terms of the settlement were not disclosed.

We are pleased to finally get this issue resolved and behind us,” commented Paul F. McLaughlin, Chairman and CEO of Rudolph Technologies. “We can now focus additional resources on the more important issues at hand. Specifically, continuing our developments of advanced metrology systems during this portion of the economic cycle so that Rudolph is a required technology purchase for the pending industry upturn.”

By Paula Doe

WaferNews Contributing Editor

Japan’s latest government-funded industry research initiative for 50nm generation technology plans to start by designing and developing its own new semiconductor production tools.

Japanese press reports said Intel researchers would also participate, but Intel sources could not confirm this before press time.

The MIRAI (Millennium Research for Advanced Information Technology) project officially started August 1, and kicked off with a press conference where project leaders said they intended to become the world’s top semiconductor research organization within three years. Officials said the main difference between this project and others like Europe’s IMEC was that MIRAI doesn’t intend to use commercially available or beta production tools, but plans to design and develop its own experimental and alpha tools. One goal often mentioned in the planning of the program was to boost the competitiveness of Japan’s equipment sector.

The seven-year project starts with a budget of $30 million (3.8 billion yen) this year, and with about 100 researchers – 34 from the government’s Advanced Semiconductor Research Center (ASRC), 55 from the 24 participating makers of chips, equipment, and materials that belong to ASET (Association of Super-Advanced Electronics Technologies), and professors and graduate students from 13 university research labs. Until the government-funded clean room in Tsukuba is completed next spring, work will be done at existing government labs and at Toshiba’s research center in Kawasaki.

The project will be organized into five separate research projects: high-k gate stack technology, low-k modules, new transistor structures and metrology, lithography and masks, and circuit systems.

August 9, 2001 – Brookfield, CT – Photronics Inc. is breaking ground for a 13,000-square-foot addition to its existing 40,000-square-foot manufacturing operation in Brookfield, Connecticut.

Currently, the facility supports over 100 customers from approximately 9,000-square-feet of cleanroom space, which ranges from class 10 to class 100. Once completed, the expansion will provide an additional 6,000-square-feet of cleanroom space that will be certified to class 1 standards, Photronics said. Designs have been finalized and construction is expected to take approximately one year to complete.

In addition to the investments in infrastructure and manufacturing technology over the next several years, total employment at the site is expected to grow more than 10% to more than 270 people.

The company initiated upgrades to its existing manufacturing infrastructure earlier this year in conjunction with its previously announced plans to consolidate its North American manufacturing network. As a result, the Connecticut operation is absorbing business being transferred to it from two North American sites being phased out of operation in Southern California and Florida.

The Company’s consolidation plan is expected to be complete during fiscal year 2001, which ends in October. Advanced manufacturing systems scheduled for installation in Connecticut include the ALTA advanced laser lithography system manufactured by Applied Materials, enhanced inspection systems from KLA-Tencor, and a variety of process, metrology and repair systems necessary to support 0.25-micron technologies.

May 31, 2001 – Milpitas, CA – Nanometrics Korea, a subsidiary of Nanometrics Inc., supplier of advanced integrated and standalone metrology equipment, announced the opening of its new support and manufacturing facility in Pyungtaek City, Korea.

The new building is over 39,000 sq. ft. on a 105,000 square foot lot and is nearly five times larger than the previous facility.

Nanometrics Korea will continue to manufacture the Metra line of overlay metrology systems, provide technical support for all Nanometrics products and collaborate on joint R&D projects with key customers in the region.

“Nanometrics has strong relationships with the leading DRAM manufacturers in Korea,” said Roger Ingalls, VP of sales and marketing. “As Nanometrics’ business continues to grow in Korea, we want to be sure that we have adequate capacity to meet the manufacturing and support needs of our customers in that region.”

Nanometrics Korea has approximately 40 employees at its new facility, dedicated to all areas of operation including sales, service, customer applications, manufacturing and R&D.

April 13, 2001 – San Jose, CA – KLA-Tencor Corp. has signed an agreement to purchase Phase Metrics, a top supplier of inspection/certification technologies to the data storage industry.

The acquisition, for an undisclosed sum, will be accounted for as a purchase and will be finalized no later than April 30, 2001.

Company officials report that Phase Metrics’ tools and technologies

will be combined with KLA-Tencor’s existing data storage inspection and

metrology solutions to create a new corporate division focused exclusively on advanced data storage technologies. Whereas KLA-Tencor’s demonstrated technology and expertise focuses on front-end data storage metrology and inspection, Phase Metrics’ efforts are focused on data storage back-end inspection and testing.

KLA-Tencor’s new data storage division will be located in Phase

Metrics’ existing facilities in San Diego, Fremont and Hayward, Calif. Frank Brienzo, who currently serves as vice president of business operations and chief manufacturing officer at KLA-Tencor, will head the new division as general manager.

According to KLA-Tencor President and Chief Executive Officer

Ken Schroeder, the acquisition marks the latest move in the company’s plan to leverage its core competencies and leadership position in the global semiconductor industry to create similar industry-leading positions in other advanced technology markets.

March 14, 2001–Sunnyvale, California–Thomas West Inc. (TWI) today announced a major capital expansion and upgrade of its applications lab and manufacturing facilities as part of an ongoing investment to provide its customers with the most advanced CMP materials and technology for semiconductor manufacturing. The increased capacity and expansion is in direct response to increased customer demand for the company’s advanced CMP pads.

The expansion, to be completed by end of July 2001, includes a significant increase in manufacturing space. The new manufacturing facility will be fully integrated and designed to meet the demanding requirements of the semiconductor industry. TWI is also doubling the size of its applications cleanroom and adding CMP equipment and additional cleaning and metrology tools. The lab is to be completed by mid-May.

“The upgrade and expansion is part of our ongoing effort to develop and manufacture high quality new products in support of our customers’ manufacturing needs,” says Don Dietz, TWI vice president of operations. “The company’s growing sales and customer requirements demand increased manufacturing resources and R&D capability. With this expansion, the engineering team is equipped with the additional resources necessary to tackle the existing CMP planarization, cost of ownership, and uniformity issues. This expansion will enable the manufacturing team to sustain and improve product quality, while ensuring adequate capacity for the future.”

Thomas West relocated to the current 50,000-sq.-ft. manufacturing and business headquarters in Sunnyvale, CA, in 1997 in order to keep up with demand as its CMP and HDD tape businesses grew. Even with this recent upgrade, sufficient space remains for future expansion of the manufacturing area.