Category Archives: Metrology

June 13, 2012 — Semiconductor manufacturing equipment billings and bookings were virtually tied in Q1 2012, reports SEMI, with $10.61 billion in billings and $10.07 in bookings. This is a 13-14% improvement sequentially, and 9% below the same quarter last year.

Worldwide semiconductor manufacturing equipment billings reached $10.61 billion in Q1 2012, reports SEMI. The billings figure is 14% higher than the fourth quarter of 2011 and 9% lower than Q1 2011.

Worldwide semiconductor equipment bookings were $10.07 billion in Q1 2012, 13% higher than the bookings figure for the fourth quarter of 2011 and 9% lower than Q1 2011.

This data is gathered in jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 100 global equipment companies that provide data on a monthly basis.
The quarterly billings data by region in millions of US dollars, year-over-year and quarter-over-quarter growth rates by region. SOURCE: SEMI/SEAJ June 2012. Note: Figures may not add due to rounding.


Region


1Q2012


4Q2011


1Q 2011

1Q12/4Q11
(Q-o-Q)

1Q12/1Q11
(Y-o-Y)

Korea

3.32

2.55

1.66

30%

100%

North America

2.16

2.19

2.75

-1%

-22%

Taiwan

1.77

1.55

2.73

14%

-35%

Japan

1.29

1.24

1.34

3%

-4%

Europe

0.82

0.76

1.25

8%

-34%

China

0.72

0.54

1.04

33%

-30%

ROW

0.52

0.50

0.88

4%

-41%

Total

10.61

9.34

11.65

14%

-9%

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Book-to-Bill Report, which offers an early perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments; and the SEMI Semiconductor Equipment Consensus Forecast, which provides an outlook for the semiconductor equipment market. SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org.

Visit the Semiconductors Channel of Solid State Technology!

If you want to quickly find and fix the source of a process excursion, you have to be able to capture the right defects, and review and classify them efficiently. Electron-beam review is always the rate-limiting step in this process; thus it’s worth investing effort in improving the odds of identifying defects that are going to lead to discovery of the source of the excursion. Even at the blinding speed of up to12,000 defects per hour (the state of the art for an e-beam review tool), most fabs can’t justify the time to review every defect on every wafer. How do you make sure you’re reviewing the yield killing defects and not wasting time reviewing nuisance events?

On critical layers, optical wafer inspection has to be run very “hot,” that is, with very high sensitivity settings, in order to capture the smallest, lowest-contrast defects that may affect yield. The problem is that hot inspections frequently capture not only defects of interest (DOI), but also nuisance events, such as line-edge roughness or defects on dummy pattern.  Unfortunately, nuisance events tend to strongly dominate the defect count in a hot inspection. When it comes time to review the defects to determine their source, choosing a random, unbiased sample may lead to reviewing a very small number of DOI—perhaps too small to represent the DOI population accurately.  You might not even be lucky enough to sample all DOI defect types, if nuisance defects represent a large fraction of the defects captured. The result is a misleading defect pareto—which can result in a delay in getting a new process to yield, or even a delay in getting a new chip to market.

There are two main approaches to skew the defect pareto away from nuisance events and toward DOI: (1) reduce the percent nuisance capture on the inspection system and (2) identify nuisance events after inspection and remove them from the review sample. A third approach would be to identify nuisance defects during e-beam review, but that strategy would be the least efficient. Nuisance capture on the inspection system can be reduced by selecting an appropriate combination of inspection wavelengths, apertures and polarizations that preferentially captures DOI over nuisance. Having an inspection system that offers the flexibility to manipulate defect type capture can be very effective at reducing nuisance capture during inspection. This sort of approach has been used for many device generations and over many generations of inspection systems for nuisance reduction.

What’s new is the ability to use design information to either skip “nuisance areas” of the die during inspection—or, after inspection, to remove defects residing in nuisance areas from the review sample. The former strategy is called micro-care area inspection; the latter is called design-aware nuisance filtering.

One of our technology-leading customers recently used micro-care area inspection to focus a high sensitivity inspection on patterns comprised of dense, thin lines. An automatic “care area” generator was used to search through the design file of the die, to draw hundreds of thousands of small care areas wherever dense, thin lines occurred (Figure 1). Only these care areas would be inspected. Together the care areas represented less than 5% of the die area normally inspected—but defects occurring in these areas had a high probability of being yield killers. Severely restricting the inspected areas dramatically increased capture of the yield-killing bridge defects and reduced the nuisance defect population to nominal levels.

 

Design-aware nuisance filtering was used to help two prominent foundries reduce nuisance defects on a silicon-germanium (SiGe) layer. SiGe is used in some high K metal gate processes to improve device performance. The problematic nuisance defect on the SiGe layer represented a small change in shape to the edge of the polygon—a variation that had no apparent effect on the device. After the defect team optimized the wavelength/aperture/polarization combination for best capture of DOI, traditional nuisance filtering, based on the attributes of the defect signal during inspection, was able to reduce the nuisance defect count by an order of magnitude. However, nuisance events still dominated the captured defect population, at a rate of 90%. At this point, design-aware nuisance filtering was used to associate the locations of the nuisance defects to a small number of pattern types. When all inspection events associated with these pattern types were eliminated, the DOI contribution to the defect pareto advanced from 10% to 85%.  Two SiGe nuisance areas are indicated in Figure 2 with solid yellow lines.

 

Strategically manipulating the defect sample reviewed by the e-beam review system so that it contains a high percentage of DOI has become necessary to creating a defect pareto that quickly and clearly directs defect engineers to the source of the excursion. Techniques like micro-care area inspection and design-aware nuisance filtering can be valuable tools for skewing the defect pareto toward yield-killing defects. For further information about creating an actionable defect pareto, please see last month’s Process Watch article, “The Dangerous Disappearing Defect.”

Rebecca Howland, Ph.D., is a senior director in the corporate group and Ellis Chang, Ph.D., is Nuisance Czar in the wafer inspection division at KLA-Tencor.

Authored by experts at KLA-Tencor, Process Watch articles focus on novel process control solutions for chip manufacturing at the leading edge.

Check out other Process Watch articles: “The Dangerous Disappearing Defect,” “Skewing the Defect Pareto,” “Bigger and Better Wafers,” “Taming the Overlay Beast,” “A Clean, Well-Lighted Reticle,” “Breaking Parametric Correlation,” “Cycle Time’s Paradoxical Relationship to Yield,” and “The Gleam of Well-Polished Sapphire.”

 

June 6, 2012 — Tom Jefferson, G450 Consortium, shares an update on 450mm wafers for semiconductor manufacturing. The consortium is adding staff and ramping its silicon supply, and getting ready for equipment selection. Jefferson speaks with Solid State Technology editor-in-chief Pete Singer at The ConFab 2012, an invitation-only meeting of the semiconductor industry.

 

The consortium is installing some early metrology tools for 450mm now, with the majority of equipment coming in during 2013, and some trailing installs in 2014. Jefferson expects to fill the 25,000sq.ft. available at Albany – State University of New York (SUNY) – College of Nanoscale Science and Engineering’s (CNSE) with tools for 450mm.

When will 450mm be used in pilot lines? That’s a question for each chipmaker to answer, Jefferson said.

More from The ConFab 2012:

Bill Tobey on EUV lithography

3D and 2.5D semiconductor packaging technologies @ The ConFab

Supply chain or supply web for 3D packaging?

Chasing price, power and performance

Semiconductors in the smart society: Next-generation connectivity

Turning the technology knobs for system scaling

How to prevail over silicon cycles

Semiconductor industry experts look to the future

A virtual IDM concept

Managing legacy fabs and supply obsolescence

Visit the Semiconductors Channel of Solid State Technology!

June 6, 2012 — Fab equipment spending has improved in 2012, breaking the barrier into positive growth for the year, shows SEMI. Semiconductor makers will invest $39.5 billion in fabs, up 2% from 2011 spending. Fab capex will hit a record in 2013, $46.3 billion or 17% above 2012.

Figure 1. Fab equipment spending (front-end). SOURCE: SEMI World Fab Forecast, May 2012.

Korea will spend the most on fab equipment this year, topping $11 billion, and will increase this to $12.5 billion in 2013. Other regions with high 2012 spending include Taiwan ($8.5 billion) and the Americas ($8.3 billion). The Americas will leapfrog Taiwan in 2013, growing spending to $11.5 billion, while Taiwan will decrease spending to around $8 billion.

All product types are increasing equipment spending in 2012, with the largest increase in memory and foundry.

2012 capex reports:

Construction spending has an improved outlook when compared to just a few months ago, with major announcements from Intel, Samsung, SMIC, TSMC, UMC and others. SEMI has identified about 45 planned projects (including new and ongoing) in 2012 and 24 planned in 2013. Fab construction spending will drop only 6% in 2012 to $6.2 billion. Fab construction spending in 2013 should improve dramatically, with a decline of only about 1% to $6.1 billion.

Figure 2. Spending on semiconductor fab construction. SOURCE: SEMI World Fab Forecast, May 2012.

In 2012, 11 new fabs will begin construction. The combined planned capacity of all new fabs beginning construction in 2012 will be 900,000 wafers per month (in 200mm equivalents). Memory accounts for 60% of this capacity; foundry 20%; system LSI 20%. In 2013, only 7 new fabs will begin construction, though this picture may still change. The new fabs beginning construction in 2013 have a planned capacity for 550,000 wafers per month.

This latest data was published in the May edition of the SEMI World Fab Forecast. Using a bottom-up approach, the quarterly World Fab Forecast report tracks multiple projects in over 1,150 fabs worldwide. Since the February edition, over 340 updates have been made concerning more than 225 fabs, keeping the industry up to date on the ever-changing announcements of spending for fab equipment and construction. Learn more about the SEMI fab databases at http://www.semi.org/MarketInfo/FabDatabase.

SEMI’s Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment.

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org

Visit the Semiconductors Channel of Solid State Technology!

Day 2 of the 15th IITC (International Interconnect Technology Conference) opened Tuesday, June 5 at the Doubletree Hotel in San Jose, CA under mostly sunny skies and a pleasant breeze.

Prof. Bill Dally of Stanford U and Chief Scientist at Nvidia delivered a keynote address on the architect’s view of interconnect: it’s about the power. The end of historic device scaling can be identified as 2005 based on a number of performance and design parameters. Scaling today is tracking at a 3x power density increase per node, so that devices today are power limited rather than area limited. Data movement, i.e. reading and writing information, requires significantly more power than operating on it in the CPU. His recommended strategy toward a solution is to view this as an interconnect problem rather than as a memory problem. Architecture can reduce the need for data movement. Profiling the applications to be run on a particular device can suggest, for example, a cache size and implementation choice that will reduce energy consumption up to 30% without changing a single line of code. This takes place within the on-chip network. Connecting functional modules in a mesh network (he showed one called the flattened butterfly topology; no insects were harmed during the presentation) rather than through a linear bus is another source of energy efficiency gain. “A joule is a terrible thing to waste; driving a bit with a high voltage swing is as bad as driving a Hummer.” Low voltage swing interconnect drivers is one focus area of his research. Bill envisions that energy efficiency improvements in interconnects will come 4x from process/materials, 5x from circuit design and 5x from architecture.

Keren Bergmen of Columbia U gave an invited talk on the use of nanophotonic interconnect networks for optimizing performance & energy in computing. Processor pin count demands for high performance operations exceed the ITRS projections well before 2016. Photonic interconnects hold a bandwidth promise of 2 Tbps/20µm pitch at the chip’s edge. Creating an optical network based on electronic design principles fails to leverage the unique characteristics that optics can bring to the table. New design tools are being developed to correct this oversight. 3D optical interconnect networks are being evaluated as an evolution of single plane optical systems. Such deposition-based silicon-photonic systems allow the optical network to be integrated into the on-chip interconnect stack while releasing the optical design from the groundrule restrictions of the electronic elements. Simply substituting optical channels for copper interconnects will not produce the gains needed.

Michele Stucchi of IMEC described the impact of 193nm and EUV lithography options on local interconnect performance. The alternating line dimensions resulting from double patterning schemes introduce a performance variability that is not present in EUV single exposure. R, C, RC and coupling parameters have been extracted from models of LELE, SDDP and EUV processes. Overlay variations impose a significant penalty on the LELE sequence. SDDP and EUV are still considered to be viable options for large scale manufacturing yield and reliability.

 

 

Akihiro Kojima of Toshiba switched technology domains with a discussion of a WLP technology for low-cost solid state LED lighting. The LED is formed in a GaN epi layer grown on sapphire with a copper M1 interconnect and pillar, and encapsulated. The devices are inverted, the sapphire carrier is removed by excimer laser liftoff, and the phosphor is applied to the exposed GaN devices. Light output is 20% higher than conventional constructs. Thermal resistance is as low as 24.2°K/W. The package can accommodate an input power of 2.1W, for a power density equivalent of 1157W/cm2.

Tsuyoshi Kanki of Fujitsu Labs described a highly reliable chip-to-chip interconnect technology using 1µm L/S. The secret sauce is a copper plating process that reduces the halogen ion content of the dielectric resin, and encapsulating the Cu features in CoWP or NiP. The resulting combinations show leakage currents of <1×10-9amps over 160 hours electrical stress, with the CoWP holding steady at 1×10-10amps.

KN Chen of National Chiao Tung U (Taiwan) delivered an invited talk on the electrical performance and quality of integrated bonded structures for 3D and TSV interconnects. Design options included oxide recess, lock & key, and lock & key with adhesive. Material bonding systems were Cu-Cu, Cu-Sn micro bumps and Cu alloy. The data indicates that reliable structures can be achieved with the appropriate system-specific trade-offs. Co-sputtered Cu/Ti with a self-formed Ti adhesion layer was a favored construct.

Dan Edelstein of IBM Watson Research gave an invited talk on engineering the extendibility of Cu/low-κ interconnect technology. The minimum Cu wire width for the 10nm node is 20× smaller than when Cu interconnects were first introduced. Modification of the dielectric precursors to incorporate a porogen skeleton has moved κ from 2.5 to 2.35 without a loss in modulus. Copper reflow <250°C is another process tweak proving useful for defect-free metallization. Co liners are subject to galvanic corrosion that exacerbates electromigration problems, and so remains to be solved. CuMn is thought to be extendible to the 14nm node. Work on modified ULK materials with pore sizes in the tenths of nanometers shows a strong correlation between pore size and distribution with TDDB.

P. Casey of Dublin City U (Ireland) conducted studies on Mn silicate layer formation on SiO2 using synchrotron photoemission spectroscopy, XPS and TEM. They found no evidence for the formation of Mn oxides; all of the Mn formed the silicate in a self-limited reaction. A pure metal 1nm Mn film cannot be fully converted to the silicate with 500°C anneal, whereas a 1nm partially oxidized Mn film can be. Fully oxidized Mn can also be converted to MnSiO3 without the presence of metallic Mn.

Sang Hoon Ahn of Samsung R&D described the recovery of acceptable TDDB performance following its moisture-induced degradation in a Cu/ULK (κ 2.5) system. The moisture showed up as an increase in leakage current and a decrease in Vrdb (voltage ramp dielectric breakdown). A low damaging UV treatment combined with a mild remote hydrogen plasma treatment restored Vrdb and TDDB to their pre-damage levels.

Prof. Akira Uedono of U Tsukuba (Japan) spoke on the agglomeration and dissociation of vacancies in electroless deposited Cu films studied by positron annihilation. The technique was shown to be effective for characterizing vacancies in the Cu films, which in turn can be used to guide additives and formulations for electroless deposition. Appropriate residual impurities can lead to the formation of stable vacancy-impurity complexes that can suppress vacancy migration and improve resistance to electromigration. Analogous studies on electroplated Cu have shown comparable vacancy characteristics, but also suggest that there has been little progress in electroplated copper formulations with respect to vacancy formation over the past decade.

Axel Preusse of GlobalFoundries addressed metallization and reliability challenges in current and near-future nodes. The familiar litany of challenges overwhelmed the list of prospective solutions, which itself is becoming familiar. The good news is that there are still plenty of knobs to turn, at least down to 20nm.

Koichi Motoyama of Renesas presented a novel Cu reflow seed process for dual damascene interconnects at 64nm and beyond. Via chain yield improved by ~60% for dense via chains and ~70% for isolated chains. A low bias Cu underlayer deposition is required prior to the high bias Cu/Ar+ resputtering and reflow in order to prevent barrier damage at the top corners of the via. Reflow was conducted ~250°C.

 

Hideharu Shimizu of Taiyo Nippon Sanso conducted a comparative study on ALD/CVD Co(W) films as a single barrier/liner layer for 22nm interconnects and beyond. Carbonyl and metallocene precursors were compared, with nominal targets of 10at% W and 20at% W in Co. Addition of W improved the barrier properties of both CVD and ALD Co. However, addition of W increased the activation energy for Cu diffusion into ALD-Co(W) whereas it did not change in CVD-Co(W) due to better W filling of the ALD grain boundaries. ALD-Co(W) also has lower resistivity because the precursor reaction path can minimize the inclusion of oxygen in the film. Adhesion of Cu to ALD-Co(W) was superior to that of PVD-Ta, consistent with wetting angles observed on the two surfaces.

June 5, 2012 — W. L. Gore & Associates and CT Associates developed a method for measuring <50nm particles in ultra-pure water (UPW) used in semiconductor processes, and removing particles as small as 12nm, through a combination of ultrafiltration and microfiltration.

Small particles contaminating UPW can cause defects on the semiconductor wafer, and must be removed by filtration. At leading-edge nodes, semiconductor UPW must be free of particles above 10nm in diameter. Conventional particle counting instrumentation cannot measure particles this small.

W.L. Gore and CT Associates developed a particle detection technique that allows filter makers to measure retention efficiency for such small particle sizes in the lab. A precise aerosol is created from the UPW and evaporates the water, leaving the particles behind in the gas phase. These particles can then be accurately sized and counted using conventional aerosol particle detection instrumentation.

This method was used to evaluate filters from common UPW systems at semiconductor fabs. Although ultra filters have high retention efficiency, some very small particles can still pass through and create defects.

The test method showed that a very high retention micro filter is able to retain a large fraction of the small particles as well.

The researchers concluded that an overall filtration system using both an ultra filter and a high-retention micro filter in series will better retain defect-causing particles from UPW, in sizes as small as 12nm diameter.

W.L. Gore and CT Associates share the results of this study in a white paper, “Removal of 12nm particles from UPW by a combination of Ultrafiltration and Microfiltration,” by Donald C Grant and Dennis Chilcote, CT Associates, Inc., and Uwe Beuscher, W. L. Gore and Associates, Inc., is available online in the May/June issue of ULTRAPURE WATER Journal, http://www.ultrapurewater.com/UPW/Default.aspx, and will be presented at the ULTRAPURE WATER-Micro conference, November 13-14, in Phoenix, AZ.

W. L. Gore & Associates Inc. supplies PTFE-based filtration media for semiconductor, electronics, ultrapure water and high-purity chemical applications. To learn more, visit gore.com.

Visit the Semiconductors Channel of Solid State Technology!

The 15th IITC (International Interconnect Technology Conference) opened Monday, June 4 at the Doubletree Hotel in San Jose, CA with about 230 engineers, scientists and technologists in attendance under a light drizzle. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back end memory.

Mike Mayberry, VP Components Research at Intel, delivered the opening keynote address with prognostication for what lies ahead for devices and interconnects. The traditional trio of smaller, faster, cheaper is joined by longer battery life. An asymmetric device, tunneling FET (TFET), is one option that may be able to deliver 8x performance over CMOS while operating at very low voltages. Interconnect shrinkage brings us to the physical limitations of barrier vs. copper volume for reliability vs. conductivity, as illustrated in this 10nm copper trench.

 

But while there are physical limits, Mayberry proffered that the correct answer to “where will it end” is “when we run out of ideas.” One new idea is the notion of stacking devices themselves, rather than remaining constrained to a single layer of silicon. This can provide a device density gain of 30%-50%. New architectures like associative memory will be fostered by new ways of using consumer devices, such as context-sensitive device response. For example, minimizing distractions while you are driving, or silencing your hilarious ring tone during business meetings, might be desirable capabilities to have built in. “If you only look for better versions of what you have today, you are going to miss opportunities,” he said. On-chip optical interconnects are likely to be limited in scope due to density and power considerations.

Soo-Hyun Kim of Yeungnam U (Korea) gave an invited talk on ALD Ru with organometallic precursors for copper seed layer and capacitor electrodes. Rapid nucleation was achieved using three different zero-valent Ru compounds reacting with molecular O2. Nucleation begins within the first 2-3 cycles, with thin film coalescence coming in the 50-60 cycle range. In addition, nucleus density is 1.5-2 orders of magnitude higher with these precursors compared to a more traditional Ru(EtCp)2. Good conformality was shown up to AR 32 at 225°C deposition temperature.

Theo Frot of IBM Almaden Research described some approaches to protecting porous low-κ dielectrics from plasma and CMP damage. The post-porosity plasma protection strategy yields the best results on a variety of dielectrics ranging from κ 2.4 to κ 1.8 in DHF wet etch and O2 plasma-induced damage (PID) tests.

 

One of the observed fringe benefits of this process strategy is a lower rate of post-CMP delamination. The original κ 2.0 value was confirmed following integration in a single damascene layer test structure.

Christopher Wilson of IMEC integrated a κ 2.3 spin-on dielectric for sub-28nm technology using EUV lithography. Structures were fabricated at a 40nm half pitch and post-etch dielectric constant was restored with a He/H2 plasma treatment that resulted in a 13% improvement in RC characteristics. Single damascene and dual damascene dielectric stacks are shown in the figure.

 

TDDB did not degrade as the spaces between the 40nm trenches were scaled from 90nm to 40nm.

YH Wu of TSMC described the use of an uncured ELK material as a CMP stop layer. Following CMP, the ELK porogen is activated to form the low-κ dielectric, resulting in a net smaller shift in κ. Integration schemes with and without the uncured ELK layer had comparable leakage, but the uncured ELK layer increases line-to-line capacitance by 7% before curing. After curing, the capacitance penalty was eliminated. Benefits of the stop layer include an improvement of copper thickness control across the wafer from 11% to 4%.

Chih-Chao Yang of IBM Albany Research showed the use of Co films as Cu capping layers. Better TDDB results with no dependence on Co thickness were observed with an in-situ process, in which the Cu oxide removal prior to Co deposition is conducted in a single reaction chamber with no air exposure between steps.

 

Jürgen Wolf of Fraunhofer IZM-ASSID described the outlook for silicon interposers with integrated TSVs for 3D SiP integration. Process schemes are designed with an eye toward leveraging WLP designs and manufacturing methods. Several temporary wafer bonding technologies are included in the mix to accommodate the in-process handling of extremely thin wafers for WLP. SnAg and SnAgCu alloys for Pb-free reflow soldering to Au bumps have been found to be adequate up to this point in the development process.

Jinho An of Samsung spoke about controlling extrusion defects in Cu TSV through annealing process conditions and structural design factors. TSV diameter has the largest effect on the tendency to extrude. Carbon and sulfur impurities affect the copper grain size, which in turn is inversely related to percent extrusion.

 

Ashish Dembla of Georgia Tech described a scheme for fine pitch (35µm) high AR (18:1) TSV integration in silicon micropin-fin heat sinks. The microfluidic prototype structure shown could handle a power density of 100 W/cm2 with a resulting junction temperature <50°C and a pressure drop of 83kPa. The silicon pins were fabricated with a cluster of copper TSVs inside each pin to enhance thermal transport as well as to provide the TSV functionality.

 

Michael Van Buskirk of Adesto Technologies gave an invited talk on a scalable, low power, high performance resistive memory technology platform called conductive bridging RAM (CBRAM). The device shown consists of a W cathode, Ag anode and GeS2 solid electrolyte switching layer. The operating principle is based on the formation of a conductive silver dendrite between the electrodes, with conductivity increasing the longer the ON switching current is left on. This makes is conceivable to have multiple ON states in a single device. A 1Mb serial EEPROM/Flash combination product has been integrated into a 130nm Cu BEOL design and is commercially available. Cross-contamination concerns about the introduction of Ag into the fab were handled with minor modification of the same protocols required for Cu. The device has demonstrated an endurance of 100k write cycles with 10 year data retention at 70°C.

 

Jonggi Kim of Yonsei U (Korea) described the switching mechanism of another resistive switching device, this one based on the redox migration of oxygen ions in HfO2 between Ni/Ti and Pt electrodes.

Honggun Kim of Samsung R&D presented a novel flowable CVD process technology for sub-20nm interlayer dielectrics. Process conditions made it possible to eliminate the Si3N4 oxidation diffusion barrier, reducing the bit-line loading capacitance by 15%. Gap fill for AR 40:1 has been demonstrated with peak process temperature <500°C.

 

S. Maîtrejean of CEA Leti talked about the challenges in phase change memories from a materials and process perspective. The addition of carbon to PVD GeTe correlated well with MOCVD GeTe with residual carbon. A confined device structure performed better in terms of switching time and ΔR than the earlier plug designs with an unconstrained PCM layer.

 

June 4, 2012 — At The ConFab’s opening session, “The Economic Outlook for the Semiconductor Industry,” capex was a major point of interest. Jackie Sturm of Intel, Dan Hutcheson of VLSIresearch, and Jim Feldhan of Semico all touched on it, with Hutcheson expanding on the idea of capex trends to present an entire ecosystem of semiconductor business management. The ConFab is Solid State Technology’s invitation-only meeting of the semiconductor industry, taking place this week in Las Vegas.

2012 capex will be flat to slightly up compared to 2011, Semico shows. The top-4 capex spenders in 2012 — Samsung, Intel, TSMC, and Hynix — will all spend more than they did in 2011. For other semiconductor fabs, the outlook is either nearly flat or declining spending, most notably at GLOBALFOUNDRIES and Micron.

Jim Feldhan, Semico, shared capex spending predictions for the major semiconductor makers, as compared to last year.

Dan Hutcheson, CEO and chairman of VLSIresearch, chose an inspiring title for his talk, “Beating the Silicon Cycle: Don’t just survive it…Prevail over it.” The semiconductor industry has violent, sometimes unpredictable swings up and down, he acknowledged. A combination of reacting and planning will help companies ride out these swings. If your semiconductor company misses the indicators of a downturn, you’re left with excess manufacturing capacity, and too little cash. Then, if you miss the indicators of an upturn, your company can be left at the bottom of tool suppliers’ order books, without enough product to meet demand, and losing market share.

Dan Hutcheson, VLSI Research Inc., shows the impact of the Lehman Brothers financial crisis in 2008.

While forecasts are rarely spot-on, they allow semiconductor suppliers to react quickly to market changes. Companies that are able to react quickly can dominate markets and make the most of new opportunities, Hutcheson said, using the Lehman Brothers failure as an example, clearly showing a new trend that developed week-by-week as the crisis unfolded. Hutcheson shared VLSI’s Chip Price Performance Index, which is a weekly indicator of market health against the expectations of Moore’s Law.

IC inventories are a coincident indicator, he added, as is capacity utilization:

Capacity utilization is “still crashing” in 2012, Hutcheson said, though the rate of decline started to slow in March. Capacity utilization never abated in leading-edge nodes, which is typical, Hutcheson noted. More mature nodes were hit the hardest (4X and larger). In her talk, Intel’s Jackie Sturm estimated that it takes $9-12 billion in annual semiconductor revenue to support just 1 leading-edge fab. With this factor, it’s no surprise that the semiconductor arena is experiencing consolidation.

Dan Hutcheson, VLSI Research Inc., tracking semiconductor sales in 2012: quarterly, monthly, and weekly.

IC inventories are more positive now. Semiconductor revenue per square inch (RPSI) is better than it was in 2011. The CPPI is bullish on H2 2012. All in all, the picture is “still cloudy,” said Hutcheson. Indicators were too early in April (press time for the numbers) to know if an upturn was in the offing, or just a quick spike.

Video interview: Dan Hutcheson speaks with Solid State Technology editor-in-chief Pete Singer

 

Want more information from this session? Read about end market demands and global change in Semiconductor industry experts look to the future

The ConFab sessions cover economic outlooks, technology trends, the foundry-fabless relationship, 3D packaging, and tool investments/obsolescence. Click on any of the keywords for a session preview. Also read chief editor Pete Singer’s blogs from the conference.

Today’s keynote address presented the "virtual IDM" concept, from John Chen of Nvidia. The next keynote address will take place Tuesday morning, with Ali Sebt, CEO of Renesas Electronics America, presenting “Smart Society, the Sensing Era and Signal Chain.”

Stay tuned to Solid State Technology for presentation highlights throughout the week.

June 4, 2012 — AKHAN Technologies Inc., advanced diamond electron device designer, will collaborate with the Center for Nanoscale Materials (CNM) at Argonne National Laboratory (Argonne Labs) to fully characterize Miraj Diamond devices and materials, which are made by doping n-type diamond and can be used in the semiconductor industry.

Through "Characterization of Novel N-type Nanocrystalline Diamond and Related Diode Devices," the team will better understand the electronic properties of n-type doped diamond thin films, using CNM