Author Archives: insights-from-leading-edge

2013 International Workshop on EUVL: Maui, Hawaii, June 10-14, 2013

List of Leading EUVL Technical Challenges
  
This year, based on the feedback of technical steering committee, we have created a list of leading technical challenges as suggested topics to be addressed by presenters in the 2013 EUVL Workshop. We encourage authors to review this list and choose one of the specific topics for their papers in the area of source, mask, optics, resist and BEUV.
Source
Power scaling for current Sn based DPP and LPP sources
Physics of high power plasma and non-plasma sources (100 W -1000 W)
High brightness EUV sources to support mask metrology
BEUV Sources
Source requirements for high NA scanners for 10 nm and smaller nodes
Source power requirements for 450 mm wafer scanners
Optics and Contamination
High NA optics manufacturing
High NA scanner design
Thermal management for LPP normal incidence collectors
High reflectivity BEUV optics
Source debris management strategy
Carbon contamination and low contamination materials and process
Strategies for optics contamination control
Mask
Strategies for low defect mask blanks
Mask technology to support high NA scanners, including transition to 9 inch masks
Mask defect metrology: New approaches
Mask pellicles
BEUV (6.x nm) masks
Resist
Meeting simultaneous requirements for LER, sensitivity and resolution for EUV resists
Post-processing approaches to reduce LER
Resist materials and process for 1x node
High absorption resists
Resists materials for BEUV (6.x nm)

Bring me the rhinoceros: A Review of the 2013 SPIE Advanced Lithography EUVL Conference

Vivek Bakshi, EUV Litho Inc., February 28, 2013
Technical Highlights
The 2013 SPIE Advanced Lithography EUVL Conference started with many of us looking forward to Sam Sivakumar’s  kickoff presentation on results from Intel’s EUVL pilot line. Sivakumar pointed out that printing vias and cuts is the real advantage of EUVL over 193nm immersion based lithography (193i). In order to investigate the feasibility of extreme ultraviolet Lithography (EUVL), his group produced the same 22 nm products that Intel manufactures using 193i scanners. Products made using EUVL demonstrated equal or better performance, and most importantly lacked EUV-specific defect nodes. He noted source power and particles added to the mask during manufacturing as two major challenges for EUVL. The source power issue is not new, but particles on pellicles can make EUVL manufacturing prohibitive.
Surprisingly, in the third paper of the session, ASML presented elegant results on development of EUVL pellicles – with 86% transmission (against 90% needed) that meet imaging and mechanical requirements and only need some scaling. These pellicles have almost no effect on imaging, unless the particles are larger than 1 micron, and can be fully cleaned as well. Also, if the pellicle breaks by accident, ASML said they can clean the mask using a dry clean process.
Scanner Status by ASML
ASML is essentially an integrator and their update was full of continuous improvements. NXE3300B is a solid improvement over NXE3100. In their presentations, one sees ASML’s style of making innovation and improvement part of business as usual. What I like the most about ASML is that they do not play the "blame game." They never say in public, "if sources are ready, we will have the tool ready." If they become an EUVL source supplier through their acquisition of Cymer, we will see if this attitude changes.
The most important information that I got out of ASML’s presentation was how source power will relay to throughput, a relationship that will help us figure out the progress of EUVL. Scanner stages are ready for 100 wafers per hour (WPH) tools and if mask fields need to be split for higher numerical aperture (NA), I expect that they will be able to turn this knob a little to partially compensate for throughput loss. NXE3100 scanners are supposed to have a throughput range of 6 – 60 WPH and NXE3300B scanners of 50-125 WPH. The ratio of source power to WPH will increase from about 1 now (10 WPH for 10 W with NXE3000) to 1.25 (43 WPH at 55 W for NXE3100 in the near future). For NXE3300B, the ratio will rise to 1.6 (80 W for 50 WPH) and then to 2 (250 W for 125 WPH). I expect this to be due mostly to higher dose requirements, plus a few other factors such as availability and reduced scanner throughput at higher NA.
Source Technology Status
Some progress has been made, but a large gap remains. 40 W in 2014 from Cymer looks promising. I am also somewhat optimistic about 60 W with 100% duty cycle (DC) and long term operation by the end of next year, at least in non-integrated sources.

1) Ushio, maker of laser-assisted discharge produced plasma (LDP) sources, showed that they now have > 80% availability for their 6 W source at IMEC’s 3100. They have now demonstrated 51 W at 80% DC for 1 hour and 74 W at 12% DC for couple of minutes. As it has taken them a long time to realize acceptable high availability of 6 W sources, we know that scaling is no small task. It was not clear if LDP will be used for first NXE3300B prototypes, as was done for NXE3100.

2) Gigaphoton had > 7 W in 2012 from their Sn laser produced plasma (LPP) sources but they noted the scaling challenge and went back to the drawing board to address the issues of reliable droplet generation, pre-pulse laser for high conversion efficiency (CE) and debris mitigation. After proof of principal of their new design, they are working now to scale up their source from a current 10 W at low duty cycle, using 20 micron drops and 5 kW CO2 laser. Their new approach looks technically solid and I am expecting good progress this year. For 250 W Sn LPP sources, they are working on a 40 kW CO2 laser module.

3) Cymer’s sources in the field are averaging 10 W today with > 65% availability. These sources have >  0.5% dose stability. For upgrades, they have a 40 W source with 0.2% dose stability that they have used for 100% duty cycle for six one-hour runs. They also had a one-hour run of a 55 W source and feasibility of 60 W was demonstrated. This technology is for NXE3100 sources and they expect it to be ready for the scanners by Q3 of this year. They still will need to transfer this technology to NXE3300B, so I am not sure when the 80 W sources needed for these scanners will be ready. I will be delighted if 40 -50 W sources are ready and in the field in 2014. The Cymer team has done good work and has a roadmap for 250 W; but inasmuch as they have talked for many years about delivering high levels of source power and have not been able to do so, there was some skepticism in the audience toward their roadmap.

New Technical Solutions
The conference presented a large number of solutions for EUVL challenges, and several were good news:

1) A paper by Nissan Chemical (8682-9) titled, "The novel solution for negative impact of out-of- band (OBB) radiation and outgassing by top coat materials in EUVL," provided welcome news about OOB radiation and resist outgassing. Topcoat on resist was shown to eliminate OOB radiation from source as well as outgassing. It was a relief, as there has been ongoing discussion about the extent of OOB radiation, its effect on imaging and losses in a spectral purity filter (SPF).  So now we may not have to worry about OOB radiation, SPF losses and contamination from resists may be a thing of the past.

2) It looks like resist suppliers are working hard to make EUV resists ready, with several good resist papers presented. Among them was a nice review by JSR Micro (#8682-28) titled, "Novel EUV resist materials and process for 20 nm half-pitch and beyond." EUVL resists need to simultaneously meet the requirements of sensitivity, line edge roughness (LER) and resolution. One challenge that has been pointed out repeatedly is that a higher-than-expected dose is needed for best possible performance from a given resist. High absorbing resists (hybrid resists and resists with metal oxide particles) were presented as options in several papers and may allow us to adequately deal with increasing dose demand. As these resists will be more sensitive, I think that they will provide some relief from the increase in the source power requirements coming from shot noise based limitations.

3) Directed self assembly (DSA) was presented by IMEC as an aid for improving EUV resists performance (8682-10). We can expect to see increasing use of DSA in EUV resists.

4) Mask blank defects have been a challenge that has consistently proven hard to mitigate.  Lasertech (8679-17) showed data from their tool that can detect 1 nm high and 33 nm wide defects with 100% accuracy. As shown in many papers, the number of defects in mask substrates and mask blanks remains stubbornly high. However, in the last session of the conference, a paper by IBM (8679-53) delivered good news on mask defect repair for phase and amplitude by nano machining. By looking at mask defects using AIT (mask inspection tool from CXRO), they were able to model the  number of multilayers (ML) that may need to be  removed or added to the mask blank so that the Bossung curve for the resulting ML is what is expected for a defect-free ML! They presented many examples, and I believe that although this process seems laborious, it may get widely adopted along with mask blank defect reduction to address this leading challenge for EUVL.

5) As we move to higher NA, absorber thickness becomes a larger issue due to higher shadowing. One solution presented utilizes phase-shifted masks, which are a short stack of ML etched into the mask blank, and topped by thin absorber to provide destruction interference to enable thinner absorber layers. New materials choices of Ni and Ag were presented in papers as alternatives to the current set of mask absorbers.

6) As EUVL moves to the 10 nm node and below, one option for achieving increasingly smaller patterning is double patterning with EUV. Intel confirmed success for this process in their pilot line and in the last paper of the conference IMEC and AMAT demonstrated 9 nm HP dense L/S patterning using NXE3300B!

New Challenges
The conference also delivered a list of new EUVL challenges. I already mentioned the challenge of particles added to the pellicles. As EUVL is readied for smaller nodes with high NA optics, the angle of incidence on the mask is going to increase. Options to address this issue include 1) breaking the exposure field into two or four parts, 2) adding two additional mirrors to the scanners and 3) increasing mask size from the current 6 inches to 9 inches.
Winfred Kaiser of Zeiss summarized various technical options for the industry.  In his paper, he suggested "going with 6 inch masks with quarter field and 8x magnification" as the best option for 0.5 NA. However, breaking the pattern into many parts will further downgrade the throughput.  Harry Levinson of Global Foundries offered "6 x magnification with 9 Inch masks" as the best solution for 0.5 NA. He also stressed the need to continue working with 6 inch masks as long as we can. Going to a larger mask means upgrading mask infrastructure tools to handle 9 inch masks, which will be very difficult and could take a couple of years. However, this approach may involve changing only the handling part of tools, while leaving the key technical core of the tools the same. In any case, moving to 9 inch masks will be painful for mask makers and we can expect to hear more on this topic from them.
Best Papers
The following four papers seemed outstanding to me:

1) A paper by Harry Levinson titled "Considerations for high-numerical aperture EUV" (8679-41) was my first choice. He not only elegantly outlined the technical challenges, he also proposed a comprehensive set of business solutions and challenges to their implementation.

2) A paper by Luigi Scaccabarozzi  of ASML, "Investigation of EUV pellicle feasibility" (8679-3), showed how quickly this supplier has addressed a critical challenge which could have been a showstopper.

3) A paper by Shannon Hill of NIST titled, "Relationship between resist outgassing and witness sample contamination in the NXE outgas qualification using electrons and EUV" (8679-19) was an excellent technical work looking into the mechanism of resist outgassing and contamination. His group has continued to lead in the basic work of understanding the mechanism of contamination in EUVL.

4) A paper that I would like to cite for its excellent presentation style was offered by Ken Goldberg of CXRO as "Commissioning a new EUV Fresnel zone plate mask-imaging microscope for lithography generations reaching 8 nm" (8679-44). His outstanding talk set the standard for how to present a complex topic and immense technical achievements in a very elegant way, and the audience was very impressed. I will recommend that SPIE post Ken’s paper on their website as a standard for SPIE authors wishing to make an excellent technical presentation.

Other Observations
Despite moving the conference to a larger venue, there was still standing room only for key talks.

450 mm was not mentioned once in any paper in the EUV sessions!

Although sources remain the biggest challenge in EUVL, discussion on this topic was limited pretty much to suppliers showing their roadmaps. I spoke to many people about the source power issue and the lack of funding for source R&D. All agreed, but acknowledged that no action by the industry has been taken yet. Part of the issue, as some mentioned, is that source R&D needs cannot be fully addressed until ASML’s acquisition of Cymer is final, as then it will be something for ASML to address.

Summary of HVM Readiness of EUVL
Hynix presented their 2009 cost of ownership (COO) calculations for various next-generation lithography (NGL) techniques. They indicated that COO for an EUVL scanner at about 35 WPH would be the same as COO for double patterning. They said the COO equation has not changed much since 2009, although I think it will change some for smaller nodes, since for them higher source power will be needed.
I expect 40 W sources in the field next year. I will be delighted if NXE 3300Bs are in the field by the end of 2014 with a source as well, but I am not sure if 80 W sources will be ready by then.  I do not think we will have 100 W sources in field before 2015.  However, I do not want EUVL HVM insertion to shift from 2014 to 2015, so I can win my bet with Lithoguru Chris Mack and claim his Lotus as my own!
Bring Me the Rhinoceros
Last month, I decided to take a three-month introductory course on Zen Koans in the local Zen Monastery. (For those not familiar with Buddhism, a koanis a question without a real answer, and is aimed at getting the student to think deeply.) The first Koan, which students can study many years in a traditional Zen monastery, is called the Mu Koan. It goes like this:
 A monk asked his Master ZhaoZhou, "Does a Dog have the Buddha nature, or not?"
Master ZhaoZhou replied, "Mu" (Japanese for No).
One of the central ideas in Buddhism is that all things have Buddha nature, so this answer does not make sense. A pupil is supposed to work with this Koan for a long time. There is no standard answer and the master judges each pupil’s answer differently. I had the homework of applying this Koan to whatever was happening to me during the week, and report back what I learned. As I was at the SPIE Advanced Lithography conference, I decided to rephrase the Koan as "250 W is needed for HVM adoption of EUVL and EUVL will be in HVM in the next two years. Does that mean we will have 250 W sources ready?"  Having spent over ten years in the EUVL source business, I think I will answer my own Koanwith a Mu, while still acknowledging EUVL as the leading technology in the next two years. I will continue to give a dialogue on this topic in my blog in coming weeks.
I would like to leave my readers with the second Koan from my class called "Bring Me the Rhinoceros," and invite you to contemplate how it relates to the "Art and Science of Making Computer Chips." 
One day, Master Yanguan called to his assistant, "Bring me the rhinoceros fan."
The assistant said, "It is broken."
Master Yanguan replied, "In that case, bring me the rhinoceros."
Second Koan used here is from a book by John Tarrant titled "Bring Me the Rhinoceros," Shambhala Press, 2012.

Further comments on physics and engineering of EUV sources

I got good bit of feedback on my last blog in which I discussed the differences between physics and engineering of EUV Sources, and the implications of that difference. I was glad to see that it generated some re-evaluation of current thinking (as intended) and now would like to clarify few points.
First is the supplier commitment. One can have lots of great technical options backed by beautiful physics, but if there are no suppliers to turn ideas into commercial products, technology will go nowhere. EUV source technology will succeed as it has three large suppliers, each with current business experience in supplying light sources for scanners.  In the end, we may not have this many suppliers due to business and/or technology consolidation, but right now we do. For EUV sources for metrology, there is an even larger number of potential suppliers who are working to find a way to meet industry requirements. With this backing and competition among suppliers to outperform one another, we ought to see success.
The real question is whether scanners that can produce ~40 wafers per hour (WPH), which  I expect to be ready by 2014, will deliver cost of ownership (COO) sufficient to convince leading chip-makers to switch from 193nm based technology. The challenge is to estimate the point where the COO of EUVL will cross that of 193nm, making it more cost effective technology. Will it be at 15nm or 7nm? What product, what wafer size?  I do not have sufficient information to make this prediction right now, but I expect some acceptance of EUVL in high volume manufacturing (HVM) by the end of 2014.
Just because a technology cannot scale up in power does not mean that it will poorly serve EUVL in the process of development. Last week I gave an example of synchrotrons. They have provided low throughput printing to support development of current EUVL technology, and will continue to do so for future versions of EUVL. So let us continue that very wise investment! Supplier Energetiq has 10W source technology that has aided EUVL very well so far. Present designs may not scale up to the required brightness for mask defect metrology tools, but this supplier is looking at new physics for scaling, as they demonstrated in the last two Source Workshops in Dublin.
So it is a matter of realizing what cannot be done with present physics, and finding new ways to achieve scaling. We have seen >5% conversion efficiency and high debris mitigation techniques at low rep rates. Let us see how far these approaches can scale up. If they do not (over a reasonable period), then we need to quickly pick up another potential solution from a host of possibilities. These will become available to us if we continue to look for new physics, including development of new materials and chemistry. We can research the physics of EUVL with a very tiny fraction of what we have spent on engineering development of the technology. I still believe in the power of innovation and competition to help us move forward, but for this effort we must engage universities, national labs and independent research organizations to generate new ideas leading to new solutions. Only then will we be in a position to solve the persistent problem of low throughput in EUVL scanners.

EUV source roadmaps: Physics vs Engineering

I am frequently asked by my consulting clients and colleagues when EUV sources will be ready to support high volume manufacturing (HVM) of semiconductors. It is a difficult question to answer, partly because readiness metrics have been a moving target, or the latest performance data is not very clear. For example, how many wafers per hour will make it cost-effective to adopt EUVL over the alternatives of triple or quadruple 193 nm immersion lithography for a given  product at a specified feature size for 300 mm or 450 mm wafers? Is the latest data in pulse mode and integrated, and for how long an operation?
Even if the targets are clear, there is still uncertainty because source progress has not increased as much as predicted  by  supplier roadmaps. Last week in a press release (see http://optics.org/news/4/1/26), ASML was quoted as saying, “40 W sources are providing good dose controls and will be used in NXE3300B to be shipped in 2013. 60 W sources have been successfully tested with no sign of performance degradation from debris.”  But can we take these numbers at face value and expect sources to be ready as promised in the supplier roadmaps?
As EUV source technology has been the main reason for the delay in EUVL for HVM, it is worthwhile spending some time  pondering why this is so and what we know. When I look at what I know about source technology status, my only data is what is shown at industry conferences by source suppliers or chip-makers. Most presentations are about achievements which have been significant, but not sufficient. Unfortunately, no one talks much about what is not working, except to say "We’ll fix the problems and here is our roadmap."
Given the many delays in HVM-ready EUVL, we should know by now that looking at roadmaps and press releases may not be the best way to predict technology readiness. Presumably, customers who own the latest EUVL scanners get confidential updates on source readiness so they have a better idea of what needs to be fixed. But these are chip- makers and not source experts, and their information may end with predictions from roadmaps which I suspect are very close to those shown in public by source suppliers. Of course, I have no clue about what additional information source customers may have, except that all of them list EUV source as the #1 problem in their public presentations.
One of the most repeated statements I hear on this topic is, “The physics is known and it is just an engineering challenge.” In other words, it is all about figuring out how quickly solutions can be engineered. I tend to disagree with this statement, and here’s why:
Let’s start by defining physics and engineering. Per Webster’s dictionary, “Physics is science dealing with the properties, changes, interactions of matter and energy,” while “Engineering is concerned with putting scientific knowledge to practical uses and planning, designing, construction or management of machinery.”
In other words, something is not physically possible if the physics is not there. Even if something is possible at low repetition rates, it does not mean that physics will support power scaling without near-impossible engineering. Figuring out physics is like seeing our target in a forest. Yes, we can see it, but can we build a freeway to it for 24 x 7 traffic? Take nuclear fusion as an example:  the physics is there, but we have yet to power a light bulb from a fusion reactor after more than 50 years of research. At least EUVL scanners are in the field and are printing wafers every day for process development. So how large is the remaining engineering challenge for EUV sources? Isn’t finding that out the real challenge in EUVL?  
This assertion that "only engineering challenges remain for source technology” is usually backed by low to very low repeatable data, e.g.,: “Yes, we have 70 W and we got this for 10 s in standalone mode at 10% duty cycle, but it means we know the physics and all we have to do is to engineer this result into a 24 x7 product that can be integrated into a scanner.”
You may remember that  Xe discharge produced plasma (DPP) sources worked very well but never went beyond 5 W, once we finally figured out that collectable power would never exceed 5 W due to etendue limits (i.e., one can collect light only from a very small part of the plasma). In addition, it is not possible to mitigate all the heat that higher power produces in Xe DPP sources. So we had to use different physics by changing the fuel to tin, which was easier to engineer for power scaling using DPP and eventually source suppliers have put more focus on tin based laser produced plasma (LPP). But LPP sources utilize different physics than DPP to heat the plasma, so we had to use slightly different physics  to create new systems  of LPP Sn. These systems were initially based on 1 micron (mm) lasers and today we are using 10 mm lasers, according to results from lab physics experiments. Now the focus is on other aspects of Sn LPP to achieve HVM targets, including 1) changing of the delivery system from droplet to mist targets, and 2) pulse shaping and pre-pulsing to increase conversion efficiency. With each new twist, slightly different physics are added to the mix.  So I am not sure if Sn LPP will scale up without our introducing new designs based on somewhat different physics, such as going to ion beam targets or something else.
So the question comes down to this: do we have a physics solution that we can engineer? If so, how do we assess that solution? Surprisingly, the size of the machine is not necessarily an indication – we cannot say DPP is superior to LPP because it is more compact. Synchrotrons, which are rather large machines, very reliably generate EUV photons on 24×7 time scale. In fact, their contribution to EUVL development has been so immense, I do not know where we would be without them. In addition to their size, coherence and cost have been raised as issues for these very reliable sources of EUV photons. Can we reduce the size/cost to make synchrotrons a potential source for fabs? Have we looked at them seriously enough in the light of current source technology, recent developments in technology and our future needs? Not really, in my opinion, and we need to do this for both plasma and non-plasma based sources.
In short, we have not quite figured out the physics for EUV sources that can be quickly scaled up in power and engineered to make products. Some will disagree with me that this is not so for 100 W sources,  but I think I am probably right  for 250 W or 1000 W EUV sources – which will be needed as we go to higher NA scanners, smaller printed features  and 450 mm wafers.

Doing more with Moore’s Law: Status report from 2012 Source Workshop

The 2012 Source Workshop was held Oct. 8-11 in Dublin, Ireland, in the Clinton Auditorium on the campus of University College Dublin. This is the industry’s largest annual gathering of EUV and soft X-ray source experts, who took the opportunity to discuss the latest results from their labs.
 A keynote talk was given by Akira Endo of Waseda University and the HiLASE project. He focused on identifying technology areas that need immediate development to enable current sources of 100 to 250 W. These areas include droplet generation at 150 kHz via electrostatic acceleration; 500 W solid state lasers with picosecond pulses and mJ energy for pre- pulse; and the ability to focus on 10 µm droplets. He also outlined a roadmap for 1000 W source at 13.5 nm and 6.x nm.
Dr. Endo also identified other important focus areas, including:
  • Tin vapor control for better EUV collection efficiency. He said ionic debris can be controlled via magnetic field, and proposed controlling neutral debris with laser resonant ionization of Sn.
  • Scaling of lasers to high power will need 25 kW CO2 laser modules. One of the toughest challenges in developing such lasers is windows, although diamond windows may be the answer.
Vadim Banine of ASML (the EUVL scanner-maker that recently acquired EUV source supplier Cymer) outlined the state of source technology and a path to 1000 W sources. He also listed top areas that need R&D work to enable power scaling for sources utilizing discharge-produced plasma (DPP) and laser-produced plasma (LPP). Describing the current status of tin-based LPP and DPP sources, Dr. Banine said Sn LPP has demonstrated 50 W average power at 80% duty cycle, along with scaling to 158 W at 3% duty cycle.
As for Sn DPP, Jeroen Jonkers of Ushio said 74 W power is possible today at intermediate focus (IF) in burst mode for a one-hour run. Dr. Jonkers elaborated on this data and presented a development area that may allow DPP to scale to 250 W. Konstantin, et al. presented results on ISAN’s new DPP design to potentially scale up to even higher power than Ushio’s design. Such concepts need to be further investigated to enable power scaling of DPP-based sources. 
Although the roadmaps for plasma sources are rather clear, we know that the goals for 1000 W scaling of EUV sources are not easily attainable. After all, suppliers are still working hard to ready 100 W sources with reliable performance.
It was noted in various presentations that scaling of power for beyond EUV (BEUV) sources may be even harder. The potential of free electron laser (FEL) based sources for delivering 1.7 kW of BEUV photons at 6.x nm was discussed in a paper by Diana Tuerke of Carl Zeiss. Source design was presented for a 3 MHz, 1.7 kW, and facility costing 200 M Euro, with annual operational cost of 20 M Euro.
Coherent sources are typically not used for lithography due to large loss in the process of making the beam incoherent. However, it was very interesting to hear Zeiss mention that they developed an invention allowing them to use all coherent light without loss! This was exciting news indeed, as it may further open doors to the feasibility of coherent sources for lithography. 
Highlights of workshop
Ulrich Mueller of Carl Zeiss presented the source requirements for mask defect AIMS tools. For their tools, high source stability is required :  <0.3% for position and <3.5 % for energy in pulse to pulse. Sources will need brightness of > 30W/mm2sr with a target of 100/mm2sr.  Currently they have sources of 8 W/mm2sr for tool development.
Klaus Bergmann of ILT showed the champion data for his Xe DPP source for metrology, with brightness of 21 W/mm2sr, operation frequency of 3.3 KHz, conversion efficiency (CE) of 0.35 and source radius of 155 mm for 20 kW input. He sees potential scaling to >50 W/mm2sr with a maximum limiting value of 71 W/mm2sr.
Steve Horne of Energetiq proposed a 100 W/mm2sr high frequency xenon Z-pinch DPP source for mask metrology. He thinks that the physics can be tested in six months – and if successful, the system can be built within 2 years. Cost of this new system would be similar to that of the present system in the field.
Paul Sheridan of NewLambda Technology described his LPP source as having a CE >1% at 45 degree viewing angle, and source size of 250 x 400 mm2 at intermediate focus (IF). For 1,000 hours of operation, he measured 80 W/mm2sr brightness. For his source, at stability at IF is 7% in position and 8% in size.
Larissa Juschkin of RWTH presented theoretical calculations for estimating source brightness requirements for EUV Microscopes.
Sergey Zakharov of EPPRA revealed a "plasma lens" design for the capillary discharge Xe DPP source. The workings of this discharge produced focused EUV beam had been the subject of speculation in the past, and Sergey finally described it for us!
Igor Makhotkin from FOM Institute DIFFER provided the results of using BEUV optics to support EUV lithography at 6.x nm.  Reflectivity for LaN/B based multilayer mirrors, the material of choice for BEUV optics, was reported to be 53.6% for normal incidence and 175 periods of multilayers. So far, this is the highest experimental value reported for these mirrors.
Leonid Sjmaenok of PhysTeX presented Zr filters with one pass transmission of 84% for 25 nm thickness and 80 mm aperture frames. Such filters, he noted, now have no more than a 2 degree max deviation from flatness. These filters will be key elements key to controlling out of band radiation and debris in sources.
For BEUV lithography sources, Takeshi Higashiguchi of Utsunomiya University proposed a mixed complex target of Gd and Tb for 6.x nm photons. He proposed punch out targets (mist) for Gd, as droplet generation is very difficult due to the high melting point of Gd. He also suggested phosphorus as a candidate material for BEUV sources.
Soft X-ray (SXR) sources
The Workshop has been successful in bringing together a large gathering of source experts by inviting technologists from the EUV (13.5 nm), BEUV (6.x nm) and Soft X-ray (~ 1- 50 nm) regions. Due to a lack of funding for research on EUV sources (despite its being the #1 issue in EUVL), many source experts now work on non-lithography applications of EUV and SXR sources. In widening the scope of the Workshop, we were able to attract EUV source experts who could give us good insights on EUV source development, even though their work may not be focused on lithography.
Two of the keynote presenters for the Workshop were global leaders in soft X-ray source technology. They focused their talks on SXR sources and their potential for non-lithographic applications. Prof. Jorge Rocca of Colorado State University talked about desktop EUV laser and its applications. Prof. Alan Michette of King’s College London discussed biological applications of soft X-ray sources.
The Workshop also had many other excellent, oral presentations and poster sessions on SXR sources and their applications.
M. Selin of KTH Royal Institute revealed a high-brightness liquid-jet laser-plasma source that enables 10 second exposure for water-window cryo microscopy. He claimed that its brightness of 1.5 x 1012 photons/ (second x mm2 x mrad2 x line), is the highest operating in a lab today. He said the 10s exposures that are now possible with the new system make this microscope comparable to microscopy based on early synchrotron sources.
James Evans of Pacific Northwest National Lab and University of California at Davis presented "Whole Cell Cryogenic Soft X-ray Tomography" with a laboratory light source from Energetiq. He pointed out that soft X-ray tomography of whole cells is now available commercially and said he is working on improved zone plates to get better resolution. A new standalone, higher brightness non- plasma source with a small footprint is planned. I think such non-plasma based sources may have potential EUVL applications, and I plan to investigate the feasibility of such sources.
Summary
The 2012 Source Workshop succeeded in its objective to bring together more than 80 source R&D experts for discussions and updates. We came away with a list of topics that need focus for scaling sources for current and future generation technology. The virtual lack of sales pitches may have induced participants to let down their guard a bit among colleagues to acknowledge the problems we still face today, while celebrating the progress made since last year’s Workshop in Dublin. The proceedings of this workshop are available for download at www.euvlitho.com.  If your business is EUV or SXR sources, you won’t want to miss our next Source Workshop on Nov. 4-7, 2013, in Dublin.

Moving forward with Moore’s Law: Throughput of EUVL scanners

In order to bring EUVL scanners into high volume manufacturing (HVM) of computer chips, its throughput of 10 wafers per hour (WPH) needs to increase. That brings up three questions: how much do we need to increase the current throughput for HVM insertion, what needs to be done to increase throughput, and how quickly can this increase be achieved?
Throughput of EUVL scanner for HVM insertion
Imaging by EUVL scanner offers a higher k1 value than is available from 193 immersion (193i) based lithography. A higher k1 value results in better imaging and lower lithography process complexity, hence the attraction of EUVL as an optical projection lithography.  Today, 193i scanners are used in a double pattering process to print the smallest features needed in HVM. Toward 14 nm and smaller nodes, if EUVL is not ready, chipmakers will need to use quadruple patterning with 193i scanners, combined with increased optical proximity correction (OPC) and design rules restrictions to print increasingly smaller features. This is not an attractive option for chipmakers, hence their increasing emphasis on EUVL readiness. As manufacturers evaluate available technology, switching from double patterning-based 193i to EUVL, throughput is most often mentioned as the criterion for evaluation.
As printing of circuits is a sequential process, in double patterning (DP) the same wafer is exposed twice in a 193i scanner. Between the two exposures, there are many additional processing steps to enable the DP process. Hence, we need less than 50% throughput from an EUVL scanner (as compared to 193i scanners) to achieve a given feature size. In the case of quadruple pattering, an EUVL scanner needs less than 25% throughput to compare with an immersion scanner due to the four exposures.  After accounting for the additional processes of deposition, etch, ash and metrology, the equivalent throughput of an EUVL scanner may become less than 40% and 20% to compete with double and quadruple patterning, respectively. Thus, to match the throughput of a 200 WPH 193i scanner for DP process , we need less than 80 WPH and 40 WPH from an EUVL scanner. This is an important point, as it’s often said in press that an EUVL scanner must reach the throughput of a 193i scanner to be considered equal. (Cost of Ownership wise, the Lithography team of the International Technology Roadmap for Semiconductors (ITRS) has already shown that EUVL is more cost-effective than 193i DP for next generation lithography (NGL) [1]).
How to increase EUVL scanner throughput
Of course, EUVL scanners still need to boost their throughput numbers from the current 10 WPH.  For economic reasons, it’s best to have throughput as high as possible from an EUVL scanner.  Although much focus is placed on sources for improving throughput, other things can be done to increase the productivity of an EUVL scanner.
To better understand the challenge, let’s start with a model that estimates throughput of an EUVL scanner for 1) a given source power, 2) scanner parameters, and 3) reflection/transmission efficiency of various components [2]. EUVL scanners are not very efficient in transferring photons from source to wafer. Hence, in addition to increasing the number of photons available to the scanner, we can also work to increase its transmission. It is important to note the relationship of scanner throughput to scanner’s overhead time and resist sensitivity. [2] For example, for 50 W of source power at intermediate focus (IF), 20 mJ resist will allow 30 WPH while 10 mJ resist will allow 55 WPH. For a 10 mJ resist at 80 WPH, we need 115 W of power for 18 s overhead time, while for the 10 s overhead time we need only 50 W of power! [2]
There are additional factors that can help increase throughput. By decreasing the resist sensitivity to out-of-band radiation, the need for spectral purity filters may be eliminated. Reflection of mask as well as effective reflection of optics can be increased as well. Optical throughput of the NXE3300B is supposed to be 50% more than the NXE3100 [3] so there is already progress in increasing scanner throughput.
EUV sources are a difficult challenge due to the inherent complexity of reliable and repeatable generation of high temperature plasma of 40 eV for a production environment.  Current EUV source conversion efficiency (CE) is only 2 % (i.e., 2% of input energy is converted into EUV photons). Of these photons, only about 10% can be collected due to the limitations of collector optics, debris mitigation and spectral purity filters. We need improvement in each of these areas to enable higher power and increased throughput. CE of 5.5 % has been demonstrated recently, larger collectors are being developed and debris mitigation techniques will continue to improve – all allowing more photons to reach the wafer.
How higher scanner throughput can be achieved quickly
There is no magic bullet, so lots of innovative solutions are needed to lessen various loss factors to reach 100 W of source power. Beyond that, we may need different approaches to key source components  such as fuel delivery. In meetings at the 2012 International Workshop on EUV and Soft X-Ray Sources (Dublin, Ireland, October 8-11), the largest annual gathering of EUV source experts, we can expect discussion on some of these key topics in EUV source development. The workshop will include:
·          Several papers on how to increase CE of sources for both EUV and beyond BEUV ( 6.x nm) LPP sources
·          New designs to allow higher power DPP sources
·          Data on the latest SPF of up to 80% transmission, improved collector optics, and other topics
I look forward to seeing the latest results from the industry’s source experts and will report them on this site.
In summary, I expect a rather slow but steady increase in EUV source power, and I’m still on record as predicting enough throughput by 2014 to allow adoption of EUVL scanners for HVM by leading chipmakers.
References:
1. Lithography Chapter, International Technology Roadmap for Semiconductors (2009).
2. Chapter 3, “EUV Source Technology,” in EUV Lithography, Vivek Bakshi (Editor), SPIE Press 2008, for discussion of a general throughput model for an EUVL scanner.
3. Rudy Peters, ASML presentation at the 2011 EUVL Symposium.

Moving forward with Moore’s Law: Analysis of EUVL investments by chipmakers

There have been recent announcements by leading chip-makers of very large investments in ASML.  The company has received investments of  3 billion euro in equity and 1.3 billion euro for R&D for its next-generation EUVL and 450 mm tools. The first announcement was made by Intel in July, followed by similar statements from TSMC and Samsung.

These investments are obviously a very good thing for ASML. They demonstrate chipmakers’ confidence in the company and willingness to support ASML in producing leading-edge scanners. ASML took a risk by investing heavily in EUVL, but it seems to be paying off in terms of financial support and increasing orders for EUVL scanners. But there is more to this story, which I will explain below.
1. While Intel invested 3.3 billion euro in ASML, it simultaneously made a much smaller investment in Nikon to develop 450 mm scanners! This further indicates ASML’s growing importance as the ONLY supplier of leading-edge EUVL scanners, along with its being the world’s # 1 scanner maker. This is a significant change in the industry model, which has been used to having two suppliers for critical scanning tools. Having only one supplier for the most critical chipmaking equipment poses some risks for manufacturers, and that may be the reason for ASML’s equity co-investment program – although the company says that “voting rights of customer shareholders are restricted.”  Also, ASML says the “results of these development programs will be available to every semiconductor manufacturer with no restrictions,” hence it’s a good thing for the entire industry.
2. Intel, TSMC and Samsung will be the first recipients of EUVL scanners and will be ahead of others in making advanced semiconductors –  ensuring their positions as leading-edge chip-makers. Other chip-makers planning to use EUVL – Toshiba, GlobalFoundries, IBM and Hynix – most probably will be next in line for scanners.
3. 450mm is looking like a sure thing, and the recent investments show that it’s likely next-generation EUVL scanners will support 450mm. The transition to 450mm is going to be very expensive, but Moore’s Law must move forward and we have to depend on the known enablers of increasing wafer size and decreasing source wavelength. I mentioned in the press in 1999 that “transition to 300 mm is not optional.” In the same article, I estimated the next wafer size as 450 mm. Almost a dozen years later, the 450 mm transition is running full speed! No one said the route to Moore’s Law is going to be easy, but this may be the only road we have and the industry will need to adjust to new economic models.  
4. Although chipmakers have invested heavily in ASML, the supplier integrates but does not make EUV sources. So 1.3 billion euro will go toward developing parts for ASML’s tools. Hence, it is not clear how this investment is going to help with the issue of source power.
Do EUVL investments adequately address the technical challenges?
EUVL early insertion by 2014 is not without risk. Some things about the industry’s investment strategy will need to change if we want EUVL in high-volume manufacturing (HVM) sooner rather than later. To insert EUVL into HVM, we need not only technical viability (proven for a few years) but also cost benefit (not yet fully proven).  EUVL can print better than competing technologies but must print with sufficient yield to a better or comparable cost to competing technology – double or quadruple 193 nm immersion.
In order to discuss this further, let’s do a quick review of the current leading challenges of EUVL:
#1 Source (average power, dose stability and uptime)
#2 Mask (defect density, inspection tools and sources for inspection tools)
#3 Resist (line edge roughness [LER], sensitivity and resolution)
Optics is a fourth issue, but its challenges are considered well addressed by Carl Zeiss. Assembly of EUVL scanners themselves are well in hand at ASML.  Other challenges also will be overcome, but we need an order of magnitude improvement to deliver 100 W sources.
It should be noted that in addition to its recent investments, the industry also has committed $150 million in the last two years for mask inspection tools, and this year announced more support for developing high numerical aperture (NA) EUV optics.
However, the investment in the #1 issue of EUV sources has been a BIG ZERO. The industry’s assumption has been that source suppliers will address this challenge and no other action is needed. With billions of dollars going into solving lesser issues, this investment approach is bit flawed. No wonder EUVL scanners are delayed – how can they be otherwise? EUV source suppliers are working very hard and investing heavily on their own, but this is a difficult challenge and suppliers will benefit from new solutions that they can engineer into products.  Sources have not met the needed 100 W target, and I think it will take few more years to get there. I doubt that higher power targets will be met with current technical approaches, and without fresh investment in new technologies.
Chipmakers can continue to ignore this topic, but they have already paid a price in terms of the delay in HVM insertion, and will continue paying with further delays in EUV readiness and lower throughput as they enter into HVM. I think there are insertion paths for EUVL scanners with < 100 W sources, but the ROI will not be very good. Can’t we do better?
Some will say that chipmakers are doing all they can. EUV sources are plasma-based, and chip manufacturers are not experts in plasma physics and don’t fully know what to do with it. However, they have funded EUVL R&D in other areas through various consortia with very significant budgets. Yet no one has any R&D programs to generate new solutions for source technology. In short, chip-akers have a flawed investment strategy which is going to continue costing them. I believe that the industry model on this issue must change. Chipmakers need to be proactive in ensuring that sources not only deliver power today, but also that there are enough new ideas in the pipeline so that tomorrow’s 250 to 1000 W sources will be available when we need them. They are already spending hundreds of millions in R&D; why not invest in generating new approaches to our #1 issue?
Conclusions
EUVL investment is growing, but the source challenge remains. Although EUVL’s place is set as the leading technology for next-generation lithography (NGL), we need to know what will happen to EUVL insertion timing if sources continue to develop at their current rate. How can we bring EUVL into HVM sooner? These are topics on which I will share my opinions in future blogs at this website.

EUVL workshop focuses on source power, timing

At the recently concluded 2012 EUVL Workshop (held June 4-8 in Maui, HI), attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into high-volume manufacturing (HVM). The Workshop’s low-key, R&D-focused setting allowed detailed discussions and a fresh overall look at many of the most pressing EUVL manufacturing issues.  
Keynote talks

Yan Borodovsky, Director of Advanced Lithography and Senior Fellow at Intel Corporation, gave the first keynote talk of the Workshop.  He identified reduction of edge placement error (EPE) as the key benefit expected of EUVL over competing optical techniques. He also pointed to a need for significant OPC infrastructure improvement for correcting 3D mask effects. Borodovsky believes there is no benefit in developing higher resolution EUVL through numerical aperture (NA) > 0.33 increase or wavelength reduction to (λ) = 6.8 nm unless resist stochastic effects can be reduced ~2X from current best levels of chemically amplified resist (CAR) platforms.

He estimated that 60 mJ/cm2 resists will be needed for printing all of 20 nm contacts on the chip with 5% exposure latitude at 98% probability in order to overcome contact exposure dose uncertainty due to stochastic effects introduced by EUV photons shot noise. Because ASML’s future tools utilizing 15 mJ/cm2 resist will require 250 W of source power for throughput of 100 wafers per hour (WPH), he expects power requirements will rise to 1000 W in order to enable defect free contact printing at EUV insertion or closely thereafter. Of course, new ideas and concentrated efforts are needed to develop and implement such sources, and he encouraged us to also look at alternate source technologies.

Soichi Inoue, general manager of EIDEC, a consortium for developing EUVL infrastructure, outlined what he called “persistent efforts to overcome challenges of EUVL.” EIDEC believes that EUVL is the mainstream technology from the cost and extendibility viewpoint. He said source power needs to increase dramatically and reach set targets (main and pre-pulse laser, debris mitigation, droplet generation, IR reduction) with sufficient stability. He added that resist and mask inspection tools, now in the precompetitive arena, could be more effectively developed through a consortium approach.

EUVL Insertion and EUVL Extension Panel Discussion

A panel discussion on EUVL insertion and extension was moderated by Sushil Padiyar of AMAT. Borodovsky noted that Intel will adopt EUVL when yields and cost of ownership (COO) compare well with those of 193 nm immersion (193i) technology.  In his opinion, complementary cuts and via patterned by EUVL for logic and DRAM contact lithography will drive early EUV HVM adoption. Even if the initial cost is large, investments can be recovered if the tools can be used for a long time.

Takeshi Komo of Toshiba focused on mask-related issues as barriers to EUVL implementation. He thinks that an aerial image measurement system (AIMS) for EUV will not be available at the early stage of HVM. Thus we will use 3D-scanning electron microscopy (SEM) and litho simulation as alternatives. He also noted the need for mask R&D for high NA and Beyond EUV (BEUV) wavelengths.

Pawitter Mangat of GlobalFoundries believes that 2016 is the earliest opportunity for HVM insertion, with 2017 most probable for his company. He also believes the need to match productivity with 193i tools for EUVL insertion and sees success as dependent on source power.
The Workshop presented its Outstanding Contribution Award was to EUV Technology, a company that has been making tools to support EUVL infrastructure development since 1997. The award was presented to President and CEO Rupert Perera by Greg Denbeaux of the University of Albany-SUNY, on the behalf of the Workshop. Greg mentioned his own positive experience with EUV Technology tools and shared positive comments from IMEC, whose engineers admire the creative design and simplicity of the supplier’s tools. Attendees voted to give the Workshop’s Best Poster Award to High CE Technology for HVM EUV Source, by Hakaru Mizoguchi and Shinji Okazaki of Gigaphoton. 
Other significant results:

      Hiroo Kinoshita of the University of Hyogo, reporting on the feasibility of BEUV systems at 6.x nm, pointed that at BEUV wavelengths, transmission and penetration depth for BEUV CAR resists increase, but resist sensitivity decreases.
      Takeshi Higashiguchi of Utsunomiya University reported conversion efficiency (CE) of 0.6% for gadolinium (Gd) plasma at 6.x nm, using low-density Gd targets. He believes that droplet generation for Gd, the leading source material for BEUV, may be difficult to achieve.
      Shannon Hill of NIST reported that the carbon contamination rate of EUV optics may not increase proportionately with power. At higher power, however, carbon may be more difficult to clean with atomic hydrogen since the density of deposited carbon approaches that of graphite.
      Perera of EUV Technology described differences in outgassing and carbon deposition for EUV resists subjected to electronic beam (e-beam) and EUV sources. His are the first results showing that resist outgassing is not the same for these two energy sources, thus challenging current e-beam based resist outgassing testing protocol.
      Sergey Yulin of Fraunhofer gave the Workshop’s EUV Optics Technology review. He mentioned the loss of Ru, TiO2 and Nb2O5 cap layers in the atomic hydrogen based cleaning process for carbon removal due to high diffusion through cap material. He believes that the lifetime of EUVL optics remains an issue for the EUVL community.
      Yuriy Platonov of RIT presented new reflectivity data for RIT’s collector optics. The reflectivity variation has improved to +/-0.5% PV across the area of ~400mm in diameter, with maximum reflectivity of 67% for the collector optics. For Illuminator optics, he showed an average 66% reflectivity. He noted the reported reflectivity of 49.8% is still the best measured value for RIT’s multilayer (ML) for 6.x nm.
      Gigaphoton updated results of their Sn LPP source performance to 90 K Hz, 30% duty cycle, and 4.7% CE. This is highest CE reported to date for a commercial Sn LPP source.
      Akira Endo of Waseda University and HiLASE Project shared data on reducing debris and increasing CE. He reported experimental data showing that picoseconds (ps) laser is better for pre-pulse than nanosecond (ns) laser. He pointed to the need to improve beam quality for 10 µm spot size focusing and reduction in pedestal in CO2 laser pulses, which pre-heat the target.
      Takahiro Kozawa of Osaka University gave an overview of EUV resist. He noted that the advantage of high NA in EUV tools is lost due to increased transparency in the resist. For 6.x nm lithography, he said, we need high absorption resists – an assertion supported by results from Kinoshita, who reported low absorption at 6.x nm for EUV resists).
      Describing his work on improving EUV resists, Takeo Watanabe of Hyogo University pointed that the ionization reaction and photo acid generator (PAG) excitation must be taken into account to understand and potentially increase EUV resist sensitivity.
      Tooru Kimura reported on EUV resist development at JSR Corporation. He was able to reduce the acid diffusion length of EUV resist by 86% by changing the PAG backbone to a rigid structure, resulting in higher resolution. He showed that the development of underlayer for silicon hard mask helps with pattern collapse issues. He also noted that for CAR, short development time helps with the process window. He provided results for 16 nm HP with 3.3 nm line edge roughness (LER) for 16 mJ resists.
      Padraig Dunne, NewLambda technologies, described his EUV source for metrology. This source has an etendue of 10-4 mm2sr, and brightness of 80 W/mm2sr. During 24-hour continuous operation, he measured 34 x 55 µm source size with CE of > 1%.
      Debbie Gustafson demonstrated the performance of Energetiq’s 6.7 nm DPP source, which is being used for BEUV resist development. It is based on transitions of Ne VII at 70 mW of power.

Additional analysis and discussions on the topic of of EUVL Insertion Timing and EUV sources will be presented in this blog in the coming weeks. Proceedings of 2012 EUVL Workshop are available for download at www.euvlitho.com.

SPIE -JM3 Journal publishes special issue on EUV lithography sources

During the 2011 SPIE Advanced Lithography Symposium (SPIE), Tony Yen of TSMC proposed to the editorial board of The Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3) a special issue on EUV sources in which experts could share new ideas on how to further advance the EUV source technology. Chris Mack suggested that Tony and I co-edit this issue, which I happily agreed to do as EUV sources are of much interest to me. EUV source power was as critical an issue then as it is today. Knowing that power requirements would only increase for next generation scanners,  we also wanted to hear from source experts on how far we can take the current technologies, and what to do next to get to power levels of 250 W (or more) at intermediate focus (IF).

After more than a year of peer reviews of submitted articles, we will  publish the  JM3 special issue in July, with 23 papers covering such topics as laser produced plasma (LPP) and  discharge produced plasma (DPP) sources,  mask metrology sources, modeling source components (debris mitigation, spectral purity filter [SPF] and lasers) and papers on many alternate concepts for EUV sources.  Although  all of them are well worth reading, I want to highlight papers that analyze technology limits, offer solutions on how to advance current technology,  and provide alternate EUV source concepts.

DPP sources have some definite advantages. They are simpler and cheaper than LPP, and I can personally testify that they can run continuously for eight hours and more. However, since 2007, the power for installed DPP sources has remained in the 7-10 W range, although their reliability has improved. My guess is that thermal mitigation is still the issue. Over the last five years, I have seen convincing data on power scaling potential for these sources, and I see even more conclusive scaling data now. However, I will withhold judgment on how well these sources can scale in power, until end customers report on their long term operation at higher power.

A paper by Koshelev et al. on metal jet offers an interesting approach to DPP power scaling.  It was shown to be more than a concept when he provided experimental results at the 2011 Source Workshop. Koshelev expects to soon scale his source power to 800 W at source (80 W at IF) by using 32 kW input and 2.5% conversion efficiency (CE), and details his new DPP approach in this issue. As with all new concepts, it will need engineering work to become a commercial product.

LPP source power has improved from the mW range in 2007 to ~10 W today. The physics of scaling seems straightforward for these sources as well. Now that many LPP sources are in the field, much more attention is paid to them today. But in fairness, I must withhold judgment on the power scaling potential of LPP sources as well, until I see more field data from customers.

Papers from Toshio Tomie and Gerry O’Sullivan provide excellent reviews of LPP technology and offer opinions on its limits. Also worthwhile is a theoretical paper from Koshelev et al. on distributed targeting for LPP (Akira Endo expanded on this concept in his talk at the 2011 Source Workshop). The distributed target approach is interesting  and promises higher CE and better debris control, but it needs to be brought into practice and then into manufactured products.

Alternate concepts for EUV sources are explored in papers on EUV lasers, the Laser Compton effect, tabletop synchrotron, inverse laser Compton effect, EUV lasers, electron cyclotron resonance (ECR)-based plasma and free electron lasers (FEL) lasers. Of these approaches, FEL, tabletop synchrotrons, and ECR plasma papers claim the ability to scale up for high EUV source power requirements.  Other alternate concept papers focus on metrology applications. All of these papers provide experimental proof at some level.

An FEL paper delivered by William Barletta at the 2012 SPIE Advanced Lithography conference claimed a 500 W source with an estimated cost of $100 million. I support serious examination of these concepts, most probably by a group of experts that include end users as well as scanner makers. Even so, it’s difficult to find funding for alternate technology development when conventional suppliers are claiming that such high source power capability lies within reach of their technology in the near future. But if we do not see significant power scaling results this year, a serious review (not development) of alternate technology would be desirable. We owe it to ourselves, and it is very much worth the effort, to at least do a serious assessment. In any case, I encourage you to read the papers on current and alternate concepts and share your comments with me.  

EUVL insertion timing, readiness and scaling

Currently, EUV source suppliers are working on increasing source power for EUVL scanners. The ASML 3300 Series scanners, designed for high-volume manufacturing, are scheduled for delivery later this year. Most probably, high power sources will not be quite ready in 2012 to support HVM requirements, and will need to be upgraded on site.  So the questions still needing to be answered are:

  • When will these HVM-level EUVL scanners be used to make products?
  •  What will trigger the industry-wide insertion of EUV scanners in HVM production lines?
  • Will it be a certain source power level, throughput, yield, or cost that raises the confidence of users?
  •  Who will be the first users of EUVL in HVM, and for what products and which node?

Similar questions can be posed for EUVL mask defect metrology tools. With scanners, we have an approximate throughput model that is widely known, so we can estimate throughput and cost of ownership at a given source power level. This is not quite so for mask defection inspection tools, as they are still being designed and need brighter EUV sources.  The leading option for sources for defect metrology tools (and the only one for a 24 x 7 operation) is the Energetiq Xe discharge-produced plasma (DPP) source. However, current performance levels for this source allow only development of prototypes.

In my opinion, memory makers probably will be the first adopters of EUVL technology. Throughput as high as 40 wafers per hour (WPH) will convince chip-makers that EUVL is a viable technology, and sales of EUVL scanners and associated tools and products will start soaring. Mask defect metrology tools will remain unready for HVM until a brighter source becomes available. We can expect a déjà vu: low throughout metrology tools waiting to be upgraded.

In the case of high-power sources, we have three major suppliers with the commitment and resources to continue development. Not so for metrology sources, and the end customers will have only themselves to blame this time. I have read that end users are spending up to $150 million on metrology tool development, but not a dime on developing EUV sources for metrology. A source supplier told me that promises of support for metrology source development have not materialized,  even though EUV sources for metrology are the weakest link in the chain. With virtually all of the money going to engineering development of tools and none to this weakest link, the results are very predictable.

As the industry Roadmap moves to smaller nodes of resolution (10 nm and below), will we choose EUVL with double patterning, or change the wavelength once again and move toward Beyond EUV (BEUV)? Gadolinium (Gd) at 6.8 nm is the current leading option for BEUV source material, as we saw from the latest development results on source and optics in last year’s EUVL Source Workshop. Increased optical proximity correction (OPC), off-axis illumination (OAI), and double patterning may require more power at these nodes than 13.5 nm sources can provide, so it might make sense to move to BEUV. For BEUV, we have a leading source material for multilayer (ML) optics and resist development has already started.  We also can apply lessons learned from 13.5 nm to BEUV tools, although more infrastructure work will be required.

These and related topics will debated by panelists from Intel, GlobalFoundries, Toshiba and Applied Materials (AMAT) at the 2012 EUVL Workshop being held June 4-8 in Maui, Hawaii. The panel will be moderated by Sushil Padiyar of AMAT. The Workshop also will feature many papers on BEUV and EUVL R&D from some of the world’s leading researchers. I will be blogging here about these new developments after the EUVL Workshop.