EUVL Focus



2018 SPIE Advanced Lithography – EUVL Conference Update

By Vivek Bakshi, EUV Litho, Inc.

The 2018 SPIE Advanced Lithography meeting was held from February 25 to March 1, 2018 in San Jose, CA. As in the previous year, I will first give a high level update and then a summary of the status of EUVL, pointing out notable updates, list most interesting papers from this year and new additions to the current list of EUVL challenges.

An additional commentary on SPIE AL meeting will follow next week, giving my opinion on a couple of topics. In a separate blog, I will publish an updated list of technical challenges at all nodes, as this blog already is getting a bit too long.

  1. High Level Updates

 

  • Source power is at 250 W, with industrial version of source at a new record of 140 WPH (without pellicle). Scanner availability is still at 65%, with plans to ramp that up to 75% this year and 90% in 2018-19-time frame. 245 W pellicles are confirmed, so pellicle is no longer a red-flag item.
  • The APMI tool is still missing and is very much needed by 5 nm node. I heard several rumors of suppliers working on this tool now.
  • An AIMS tool from Zeiss is now operational in field. Two additional AIMS tools will be shipped this year, and now there is talk about high NA AIMS tool development.
  • High NA scanner development is coming along fine, and a high NA scanner is expected to be ready after 2024.
  • 55 MET is up and had its first light last week at CXRO, so development work on 0.55 NA EUVL can now begin!
  • There was no significant update on source or optics this year. Going by the track record, I expect Zeiss to have the high NA optics ready on time or maybe even sooner. Sources eventually will get to 500 W, but work remains to increase its uptime as that is main reason for scanner downtime. Like before, this is internal engineering which will be done with time, and I expect uptime to increase slowly to deliver 90% uptime for scanner.
  • Work has begun on identifying sources of contributions to stochastics (random noise) that come from not only photon shot noise but also from mask, resist and optics. Each one is being independently addressed and there were at least dozen papers on this topic. Several papers showing progress on post-processing techniques to reduce LER were noted.
  • Lots of work is taking place on new resist chemistries, and there were more Conference papers on resist than on any other topic. Inpria has the best non-CAR resist. Work is taking on several fronts to get resist ready for 5 nm nodes. In terms of new chemistries, we noted multi-trigger resist from Irresistible Materials, a startup from UK. One way to improve resist is via sensitizers without increasing the dose. The leader in this approach is PSCAR, and now TEL and IMEC have joined the development. This gives me more confidence that this technique may get commercialized sooner than later. There were lots of papers on fundamentals to figure out how best to deal with resist stochastics, but I do not quite see a clear strategy yet. Resist will remain a hot topic in coming years.
  • There were no updates from Intel, TSMC or Samsung – which I take to mean that they are getting into the “blackout” period for competitive reasons. Most papers were from IMEC, Global Foundries and IBM. IMEC and their collaborators are now leading development efforts to get EUVL ready.
  • There was book signing during 2018 SPIE Advanced Lithography for the brand-new text from SPIE Press on EUV Lithography! I have edited this new text with contributions from technology leaders in the industry. You can get a copy from the SPIE press: https://spie.org/Publications/Book/2305674.

Congratulations to all contributors!

  1. Current EUVL Status

Source: 245 W has been integrated in the scanner for a 140 WPH throughput. 250 W will allow 150 WPH throughput. Cymer has been able to convert a 250 W prototype source to an industrial version in a year, with three-day continuous run demonstrated. There was no separate update for Cymer’s sources this year in oral sessions.

Scanners: NXE3400 has achieved 140 WPH using 246 W source and no pellicle. Run was conducted for full field (96 fields) with 20 mJ resist. With pellicle, the same setup can produce >100 WPH. It was noted that in 2014 throughput was only 10 WPH, and now it is 140.

CDU for this test was 0.5 nm and overlay of 1.9 nm. Otherwise, CDU is 0.3 nm, 13 nm dense and 16 nm isolated lines, with < 6nm focus stability. Machine to machine overlay (MMO) is 1.5 nm. This can satisfy requirements for the 5 nm node.

Current uptime is 65%, with planned upgrades to increase it to 75% in 2018 (Uptime data was shown in an uncalibrated Y-axis plot, so this is my best guess). Plan is to have 90% uptime required for HVM in the 2018-19-time frame. So, it seems like this area still needs attention to get EUVL ready for HVM.

Ten scanners were shipped in 2017, and 20 are planned for 2018. Model NXE3400C planned by 2020 with 155 WPH throughput.

High NA scanner: High NA switch to 0.55 from 0.33 will enable two nodes’ worth of shrink in features. High NA offers improvement in CDU, higher effective throughput (up to 185 WPH), 40% smaller features with the same exposure latitude, but with much smaller depth of focus. Increase in throughput is obtained via an increase in mask and wafer stages. Although the high NA tool will deliver 2x to 3x power, the power density at the pellicle will remain the same, due to an increase in slit size. The tool also will have wafer cooling. Higher NA tool also has a smaller mask 3-D effect due to a smaller angle of incidence. 3D effects in tool will be addressed via sub-resolution assist features (SRAF), source mask optimization (SMO) and high-k absorber. As high NA will require stitching of two patterns, something of concern to some, it was demonstrated successfully for 24 nm dense contact holes on NXE:3300. The area stitched was black border.

The high NA tool will need 2x improvement in wave front measurement accuracy, and 2x larger mirrors. The size of high NA optics and associated metrology has resulted in a need to build new fabrication facilities at Zeiss with much higher ceilings. High NA fabs also will need higher ceilings. In summary, the feasibility phase is over for high NA EUVL scanners, and the construction phase has begun.

Insertion in HVM: Noted by several speakers and reported in press over last several months- TSMC will have node N7+ in 2019 and N5 in 2020. Samsung will have EUV in HVM first (late 2018 or early 2019 according to press reports). GlobalFoundries and Intel are expected to have ~2019 start.

Masks: Mask defectivity is currently acceptable for 7 nm node insertion. No update was provided on mask blank defectivity, as the focus has moved to defect avoidance and repair.

Mask Pellicles and Scanner Cleanliness: Mask pellicles are needed due to particles falling on mask during manufacturing, but cleanliness has improved (Initial insertion for foundry logic will use EUVL for via and contacts only, and they can use it without pellicles due to small pattern density).

Scanner cleanliness has improved to 6 particles per 10 K wafer swaps from 50 particles per 10 K wafer last year. This was achieved via hydrogen curtain, flushing, and POD parts cleanliness. The goal of one particle per 10K wafers is possible in the near future. It will be nice not to have to use pellicles.

Pellicles can now take 245 W sources. Offline tests for 300 W for >10 K wafers have been confirmed and tests for >400 W sources are planned. Current transmission of pellicle is 83% with a target of 90%. Number of defects on pellicles is zero for particles > 10 microns (good to note that it was 400 in 2017 – so great progress).

Actinic Mask Defect Inspection: AIMS tool has been delivered and this year it is working in field just fine. Two new AIMS will be delivered in 2018. New results were revealed from Lasertech on their blank inspection tool. No news on APMI tools yet, except rumors on some new tools coming soon.

Optics: Optics for 0.33 NA has been ready for many years and construction is in progress for chambers for high NA tools at Zeiss. Metrology tools for high NA are being assembled. There has been clear progress since 2017.

Resist: Latest performance results at PSI show 12 nm resolution (half pitch) at 55 mJ doe using Inpria resist and CAR with 3.4 nm and 5.7 nm respective LWR. Inpria resist can resolve up to 10 nm HP at 70 mJ dose with high LER. See Notable Updates below for additional comments on this topic. 

  1. Notable Updates
  • Jan van Schoot and E.S. Rodenik of ASML gave an extensive update on high NA tool development and NXE 3400, respectively. A summary of results is captured above in Current Status of EUVL.
  • Yan Borodovsky (ex-Intel) in his plenary talk pointed out that edge placement error (EPE) correction tapeout tooling needs to be included in tracking EUV infrastructure readiness. He discussed the presence of stochastics, which he thinks may be not be addressed at 5 nm. He thinks that industry will go to 1 D for EUV for k1 value of <0.4. (Additional comments on this will appear in my next blog.)
  • Dan Hutchinson (VLSI Research) in his plenary talk portrayed a more pragmatic view of manufacturing. More on this later.
  • Stephen Hsu (ASML) in his plenary talk pointed out the need for RET for EPE control in EUV, and recommended machine learning for RET. He believes that High NA and RET will enable sub- 5 nm nodes.
  • George Gomba (GlobalFoundries) provided a comprehensive status of EUVL readiness. I liked the updated cost of ownership and cycle time benefit for EUV vs. 193iDP. He reminded us that OPC is also required for EUV, but much less than 193i. Additional new points were loss of contrast at high NA from polarization effects. Resist and through pellicle actinic patterned mask inspection are the main challenges for 5 nm and beyond.
  • We noted a paper by Hidetami Yaegashi of TEL on post processing that resulted in “CD healing,” 30% reduction in dose and 58% reduction in CDU. He claimed to have “successfully divorced” pitch narrowing “kissing defects.”
  • Yannick Vesters of IMEC investigated adding of sanitizers – two types of metal salts, which results in reduction of dose and LWR. He noted surprising results in absorption due to addition of sensitizer. He believes that sensitizers are working via an increase in secondary electron generation and acid yield, and not via increased photon absorption. He also pointed out the need for tabulated cross-sections at 13.5 nm.
  • Vesters also showed patterning results from new multi-trigger resist from Irresistible Material, a new startup from UK. Performance with 38.5 mJ resist for 16 nm HP had 3.7 nm LER. This resist has higher etch resistance than CAR, which may allow one to use thinner resists. Work is in progress to further improve this resist.
  • Seiji Nagahara of TEL showed continued development results on PASCAR, joint work with IMEC and JSR. For the process, contrast is now more than doubled and required dose is down from 50.5 mJ to 37.5, although EL and LWR remain the same.
  • Eric Mattson of UT Dallas revealed that EUV resists show negligible thermal reactivity compared to e-beam resists.
  • Michael Murphy of SUNY Polytechnic studied the decomposition of metal containing EUV photoresists, and noted via isotope labeling that radicals leave the films, but not ions.
  • Sonia Ortega of ARCNL studied how structure affects sensitivity. Work is in progress.
  • Eishi Shiobara of EIDEC studies outgassing of EUV resists – especially how hydrogen reacts with them. He noted that hydrogen forms metal hydrides in an H2 environment, which outgas and can end up on mirrors, although a very high dose of 300 mJ was needed to be able to observe any contamination.
  • Allen Gabor of Global Foundries reviewed why four sigma rules worked before (small across chip variation) and pointed out the need for ground rules based on seven sigma for EUV.
  • Yulu Chen of GlobalFoundries demonstrated aberration induced overlay errors. If aberration is different from tool to tool, then corrections may be tool specific and the same wafer may need to stay with the same scanner.
  • Luciana Meli of IBM has an interesting set of tricks to identify and reduce stochastics defects. Coating the resist with conformal inorganic film improves 30x detection of micro-bridge defects. She noted that LWR is not a good predicter of microbridges. Current on-line metrology does not catch yield lowering defects. She proposed CD control via optimized develop process. A wafer-based dose sensor was proposed, as contamination of EUV sensors can cause process unstability. This was an interesting idea. This is a pre-exposure test that can be used to identify end of lifetime for collector and > 1% dose deficit can be detected. This technique is not focus sensitive.
  • Danilo Simone of IMEC noted that lower sensitivity corresponds to lower nano failures and said we need new metrics for resist characterization to address stochastics, e.g., image analysis and power spectrum density analysis of resist roughness. Exposure latitude can be another knob to reduce failures.
  • Joost Bekaert of IMEC put vote taking lithography to practice (application for EUV was first proposed by GlobalFoundries last year). This can work as mask related defects are uncorrelated. He had multiple identical chips on the same mask, such that each chip saw an image from four quadrants of the same mask. This technique eliminated natural mask blank related defects, smaller defects but not the large killer defects. Contrary to what one may expect, overlay was improved and a 40% reduction in nano- bridges was observed. So this is definitely a new tool in the toolbox to address random defects via averaging, but of course comes with challenges for implementation.
  • Xuemei Chen of GLOBALFOUNDRIES noted that ML surface roughness of 50 pm is not a significant contributor to LWR, but mask absorber roughness is a major contributor to aerial LWR. This was a good point to note.
  • Alessandro Pret of KLA-Tencor had interesting work analyzing stochastic process variation bands for various nodes. I look forward to reading it more carefully to get all the details.
  • Victor Carballo of IMEC looked at single exposure (SE) of EUV for 32 nm node and noted that only via retargeting was there was a process window for the entire chip. SRAF increased DOF to 30 nm.
  • Weimin Gao of Synopsys touched on the important topic of 0.55 NA vs 0.33 DP for 3 nm node (metal pitch of 21 nm). He suggested 0.55 with high k absorber is the best choice for 3 nm node. This will become an important topic next year.
  • Linaghong Yin of Mentor asserted that tool specific verification may be needed due to the magnitude of EPE, but not everyone in the audience agreed.
  • Mark Kerkhof of ASML gave an overview of diffuser optics for NXE 3400. He pointed that EUVL scanners will be inserted at k1 of 0.4, and then extended to k1 0.3 before moving to double patterning or high NA.
  • Xun Xiang of GLOBALFOUNDRIES showed that pre-etch deposition can improve pattern fidelity.
  • Anuja Silva of IBM proposed Si spin-on hard mask, as lower resist thickness improves microbridges but increases line opens. She noted that higher selectivity is not always good for pattern transfer, as “good quality” films are hard to remove. So, post litho defectivity and hard mask open processes need to be co-optimized.
  • There were a couple of papers on EUV Double patterning (DP) that I need to review.
  • TNO now has a beam-line (based on Sn DPP source) that can mimic scanner conditions for optics and contamination testing.
  • Renzo Capelli of Zeiss presented results from the first AIMS tool now in field. It can be used to look at non-resist stochastics from optics, including pellicles. It allows equivalent to scanner dose emulation. He noted that transfer of mask roughness depends on imaging conditions. Two additional AIMS will ship in 2018.
  • Andreas Erdman of Fraunhofer pointed out that the best choice for reducing 3D effects in semi-dense contacts is RuTa film.
  • Lawrence Melvin of Synopsis looked at mask absorber side angle effect on patterning roughness. Angles of 95 to 100 can improve contrast, but these type of masks may not be manufacturable. These are interesting results in any case.
  • Patrick Naulleau of LBL listed several factors that affect resist stochastics (photon noise, acid generation, PAG, quencher) and explained why material effects can be larger than photon shot noise. 0.55 MET is up and had its first light.
  • Hakaru Mizoguchi of Gigaphoton Sn LPP source has 113 W at 75% duty cycle. Source now has 0.4% reflectivity loss per gigapulse, and demonstrated 43 hour operation time with 12 kW CO2 laser. His 2018 goals are 250 W, 0.2% loss per GP, >80% availability. It’s nice to see a second supplier of Sn LPP sources continue to make progress.
  • Henry Kapteyn of K M Lab’s HHG based EUV source provides 1-3 E10 photons @ 13.5nm. Sources are currently driven by 9 W lasers, which may be scaled to >28 W in the near future to give higher power. These sources provide another way to do mask inspection using CDI – an alternative to AIMS inspection.
  • Emily Gallagher of IMEC presented data on carbon nanotube-based pellicles. These pellicles can stop most 30 nm particles, have transmission of >90%, uniformity of 0.4% and EUV reflectivity of 0.04%.
  • Iacopo Mochi of PSI showed results of actinic mask inspection using CDI at high NA, which can now do inspection up to 35 nm HP features on masks. Improvement in detectors will allow us to reach 20 nm HP resolution.
  • Peter Schepper of Inpria described distribution of resist CDU at 5 sigma and found it to be Gaussian.
  • Peter Bisschop of IMEC pointed out that controlling stochastics is key to extending EUV. Photon, resists, mask and image quality effects CD quality. I need to review the paper for details.
  • Multi-trigger resist from Irresistable Material is similar to CAR, but is not a CAR. It can allow 14 nm HP resolution without quencher.
  1. New terms heard at SPIE AL
  • Kissing defects (nano-bridging of corners),
  • Line wiggle (something also previously proposed as a measure of combining LER and LWR to predict line-breaks).
  1. Most Interesting Papers 

A couple of papers looking deeper into understanding stochastics were notable in my opinion.

  • Allen Gabor of GLOBALFOUNDRIED, “EPE Fundamentals and impact of EUV: Will traditional ground-rule calculations work in the era of EUV?” (10583-5)
  • Joost Bekaert of IMEC, “EUV Vote-taking Lithography” (10583-14)
  • Renzo Capelli of Zeiss, “Evaluation of EUV Mask impact on LER” (10583-15)
  • Patrick Naulleau of LBL, “Impact of Shot Noise on EUV Patterning” (10583-39)

And for all those who care, the best reception that I attended was at Inpria. There was a full bar and my colleagues attested to the good quality of the beverages, while I enjoyed a couple of very nice “mocktails.”

  1. Additions to existing list of challenges for EUVL: Nothing new. Focus has been taken away from pellicles and sources for now, and the main challenge for 7 nm insertion is scanner uptime (same as before).

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

One thought on “2018 SPIE Advanced Lithography – EUVL Conference Update

  1. Vivek Bakshi

    Among list of most interesting papers, paper “Evaluation of EUV mask impacts on wafer line-edge roughness using aerial and SEM image analyses” (10583-15) was presented by Xuemei Chen of GlobalFoundries. Renzo Capelli of Zeiss was a valued co-author.

    Reply

Leave a Reply

Your email address will not be published. Required fields are marked *