EUVL Focus

Monthly Archives: December 2014

2014 EUV Source Workshop Summary

By Vivek Bakshi, EUV Litho, Inc.

Short Summary

At the 2014 Source Workshop in Dublin, the semiconductor industry’s largest annual gathering of EUV source experts, we received the latest updates on current EUV source technology (Sn laser-produced plasma [LPP]) and discussed potential and challenges of Free Electron Laser (FEL) based sources as the next generation high power EUV sources.

The most meaningful data on the performance of the sources is from the field at chipmakers, and that is what we received in the Workshop. Currently three EUVL scanners have sources with power of > 40 W and one with >80 W on a long-term basis. I believe that road is headed toward >100 W of sustained power in the field in 2015 and continued growth of EUV source power. FEL is now seriously viewed as the potential technology for EUV source with >1000 W power, and we had two sessions on the topics of FEL and FEL optics to explore technical and business challenges.

Keynote talks

The first keynote talk was from Mark Philips of Intel. Last year in the Source Workshop he declared that “source power roadmap has lost credibility” and this year he reported that “source power roadmap is regaining credibility.” He said that there are now four sources for NXE3300B operating at customer sites with > 40 W of power. One source is operating at a customer site for > 80 W (corresponding to ~ 55 WPH and 20 mJ/cm2 dose).  Mark also pointed out that the cost per wafer of the lithography step with EUVL is dependent on source power, source availability and its operating expense (mostly collector), and these numbers still need to be firmed up. His overall message on EUVL was positive, with clear guidance on focus areas to ensure EUVL is ready for high volume. His presentation can be downloaded here (Link).

Wim Zander of ASML in his talk (Link) confirmed the source data from Intel and added that IBM’s source (Link) has been operating at > 40 W for over two months (news of which caused a stir earlier this summer) and > 80 W data from a chip maker is for over 24 hours of operation. The source collector life time has now improved to over 20 weeks (40 G pulses) and the main issue for availability of sources of <40% is the droplet generator.

The second keynote talk was given by Hakaru Mizoguchi of Gigaphoton (GP) (Link). ASML acquired Cymer last year – so GP is the second supplier of high power sources for EUV scanners. He reported 42 W, 50% duty cycle or 21 W average for 3 Hours (110 M pulses). For higher power at 60 K Hz, 70% duty cycle, 10 minutes  operation to demonstrate 83 W average power (or 118 W peak power) for a total of 20K pulses, 3.7 % conversion efficiency (CE) using a 10.2 kW CO2 laser. This is solid progress and in response to an audience question, he mentioned 2017 as the date when he expects his EUV sources in the field.

All this adds up to good news for EUVL in terms of supporting product development, which I believe is continuing in earnest at four leading chip maker sites.

Focus of the challenge for EUVL now is moving to infrastructure development, which now lags behind source and scanner readiness. However, I will caution that availability of high power sources needs to remain the #1 challenge – as chip makers are asking for 250 W for production requirements and we are not there yet! The leading EUVL infrastructure topic, per Mark Phillips, is the actinic metrology source; as with the presence of pellicle, actinic inspection will be required. The availability of actinic metrology sources and commercial pellicles seems to be the next challenge and the clear requirements for metrology were presented by KLA-Tencor, Zeiss and Lasertech for metrology sources. Adlyte and Energetiq presented the status of their metrology sources to support the actinic inspection tools. I am hoping that the industry will treat the lack of suitable metrology sources as urgent, because engagement with and the support of metrology source suppliers will need to increase.

FEL Update

FEL based EUV sources are being considered as candidates for > 1000 W EUV sources, and several SASE (self-amplified spontaneous emission) FEL proposals for readiness in 5-7 years were presented. In two sessions, several papers outlined the challenges for FEL and potential solutions. Papers from Uchiyama (Toshiba), Yurkov (DESY), Murokh (RadiaBeam), Endo (Waseda) and others outlined overall FEL challenges. Sobierajski (Polish Academy of Sciences) outlined the challenge of optics damage in FEL. The desired high power may be obtained via scaling to MHz operation and currently identified challenges are – temporal spikes (need for seeding – proven in concept by FERMI group), efficient energy recovery LINAC (ERL), need for a large amount of super conductive magnets, challenge of resist sensitivity vs. ablation threshold, optics damage, coherence and radiation from circulating high energy electron beams and in beam dumps.  The proof of concept from working prototypes, cost, and time for readiness also remain challenges for realization of FEL-based high power EUV sources.

The proposal from Eiji Kako of High Energy Accelerator Research Organization in Japan stood out as a very thorough plan for FEL prototype development, and I will support their proposal to build FEL prototype tools over next five years. We have many questions and challenges to address for FEL and we will learn more from a working machine.

Highlights and Other Impressive development results 

Nishamura of Osaka University showed that there is a correlation between laser absorption and conversion efficiency (CE) of sources. His models have predicted that 6-8 % CE can be achieved. According to him, the lack of number of radiators, at high CE modes, can be compensated by increasing the droplet frequency.

In several modeling papers, generation of mist targets from the irradiation of Sn droplets from the laser pre-pulse was discussed. The physics of mist generation is still not fully understood and I also think that integrated models of Sn LPP plasma are very much needed as well.

Intel showed that up to 10 mask defects now can be covered by shifting the patterns in 2D.

~50 nm thick free-standing membranes, to be used as pellicles for EUV masks, are now routinely shipped around the world undamaged via ordinary shipping. This is no less than magic – if you have been following the pellicle development saga.

Other news and summary

The Source Workshop for some time has been expanding to other topics of interest for the Source R&D community. This year’s focus was on water window microscopy, with a keynote from Prof. Carolyn Larabell of UC San Francisco (Link), which was very well received by the audience.

The number of registered Workshop attendees and the number of papers grew this year by over 30%, indicating growing interest in EUV and XUV sources, which may be due to recent good news on source performance. Based on the EUV source performance improvement that I have seen, we can expect 100+ W sources operating the in the field in 2015. It may not seem much to some skeptics –but for people like myself, 100 W of source power has been a holy grail and I am very delighted to see that goal possibly within reach by SPIE AL in late February 2015. I support Kako-san’s detailed plan to develop the industry’s first prototype for 13.5 nm FEL based EUV source, and I believe it is important to have a working prototype – while the industry discusses all the FEL challenges and best way to solve them. The 2014 Source Workshop proceedings can be downloaded at this link.