EUVL Focus

Monthly Archives: March 2015

2015 SPIE Advanced Lithography EUVL Conference – Summary and Analysis

By Vivek Bakshi, EUV Litho, Inc.

The SPIE AL EUVL Conference was held from February 22-26, 2015 in San Jose, CA. The atmosphere in this year’s EUVL Conference was the most positive toward EUVL that I have ever seen.  Here, in this blog, I will summarize the papers and data that caught my attention, give my opinion on the latest status of EUVL, and list the challenges that are still to be addressed.

Scanner status

TSMC presented data on the latest NXE 3300B EUVL scanner. With an 80 watt EUV source, the scanner ran continuously for over 24 hours and processed more than 1000 Wafers in one day. These results are a dramatic improvement from last year, when TSMC complained about not being able to break the 10W source power barrier. Machine to machine overlay and mix and match overlay (with immersion tools) continue to improve for these scanners. TSMC also showed line and space with 15 nm half pitch and 14nm trenches. Field data from IBM for 3300B showed that optics maintains up to 90% cleanliness for six months or for 50 gigapulses. The availability of EUVL scanners is now >55% and continues to increase. ASML, in their review of status, pointed out that 3300B scanners now meet the patterning requirement for the 7nm logic node and 15nm DRAM node.

Hynix in their talk also discussed a three-day continuous run with their 3300B scanner, during which they exposed 99.85% of wafer dies on 1,670 wafers with +/- 1% dose error. This shows continued growth in the maturity of EUV scanners. Hynix claimed they can now use EUVL scanners with “sufficient productivity with better or comparable yield” compared to immersion scanners.

EUV mask Pellicle

The industry now plans to use pellicles to protect the EUV mask from defects added during manufacturing. Full size pellicles with 85% single pass transmission (30% total loss) are now manufactured by ASML and the transmission is supposed to increase to 90% this year (20% total loss). One of the impressive parts of this technology is that these pellicles can now be shipped worldwide without breakage – as I remember that damage from broken pellicles was a concern when they were first proposed. In a video demonstration, ASML showed technicians dropping a box containing the pellicles without damaging them.  Another new invention reported during the conference was that EUV pellicles can now be easily removed to allow non-actinic inspection of masks, if so desired. ASML pellicles also do not interfere with imaging as they have a negligible effect on CD uniformity (CDU) and line edge roughness (LER). Hanyang University had a paper that showed alternate material choices for EUV pellicles, which have potential for higher transmission and larger reflection of out of band (OOB) radiation.

High NA Scanner and optics

Optics quality (wave front error and flare), scanner optics throughput, and illumination schemes continue to improve and credit goes to Carl Zeiss. Numerical aperture (NA) for scanners needs to increase in order to further increase resolution – ASML has 0.5 NA on their roadmap for resolution beyond 10nm HP. Until last year, high NA options for scanners included an increase of mask size to 9” and/or a decrease in throughput. None of these options seemed to be agreeable to all parties (mask makers or chip makers). However, since then a new design called “anamorphic optics” has been proposed by ASML and Zeiss. This will have 8x magnification in the scan direction and 4x magnification in the other direction, as normalized image log slope (NILS), with a target of 2, needs to improve for horizontal lines only. There were several papers on anamorphic optics – mask design to simulation of optical performance. As this option will pattern only half field, ASML had proposed solutions to compensate for throughput loss: increase in speed  of reticle stage, deployment of central obscuration in the illuminator and increase in the average reflectivity of mirrors (via narrowing the angles in the optics).  It was also pointed out in a paper that 4x by 8x  magnification at half field offers a process window similar to what we can get from straight 8x quarter field magnification. Based on this data, it looks to me like this new optics design will be adopted by the industry for high NA EUVL scanners. (I only wish the increase in throughput options via greater stage speed and improved optics had been adopted earlier to give a boost to the throughput of current 0.33 NA tools!) It was also mentioned that high NA tools will allow scaling to two additional nodes beyond 10nm resolution, instead of needing to move to multiple patterning.

EUV Source

The best paper of the conference (in my opinion) was from Alexander Schafgans of Cymer, as he explained in detail information about the performance of shipped, in-development and planned EUV sources from Cymer. This was new information not shared publically before. The extinction of pedestal in CO2 laser pulse was the main reason for the increase in the power from 30 to 80W. Today, Cymer has an in-house 100 W source which operates with 3.5 % conversion efficiency (CE), 15 kW drive laser and 17% overhead cost (meaning only 87% of the light output is used to ensure required dose control). With a master oscillatory power amplifier (MOPA) and pre-pulse based system, they eventually hope to get 5.5 % CE with a 27 kW CO2 drive laser. If there is no additional factor that lowers the performance of source, this proposed switch should give them a factor of 2.6 over current source power or ~ target of 250W. However, based on current field data, I expect only 125 W to be reliably available in field this year. I am not quite ready to support prospects of reliable 250W by the end of this year, although this power level now seems to be feasible in the near future.

Gigaphoton, the other high power source maker, issued a press release before the symposium announcing 142W at 50% duty cycle (71 W average power). This source operated at 4.2 % CE and 70 KHZ in a burst mode for a short time. In December of last year, they also achieved 120 W at 50 % duty cycle for 2 hours. They now have ~15 days availability of debris mitigation scheme and their approach is to obtain 250W in burst mode first and then work on improving the source availability.

Free Electron Laser (FEL) based EUV Sources

I did not see any plans from LPP based source suppliers (Cymer and Gigaphoton) to scale the power beyond 500W range, and now the industry is increasingly focusing on FEL based EUV sources for higher power options of 500- 1000W, which will be needed for high NA scanners.  Erik Hosler of GlobalFoundries gave an overview of various technical options for developing an FEL prototype. I would like to see more papers and discussions on this topic, and so I am organizing a special session on FEL based sources in the upcoming 2015 EUVL workshop.

EUV Resists

The most important news about EUV resists came from a side meeting during the conference that I could not attend. Due to strict outgassing requirements, put in place to protect the scanner optics, it takes a long lead time to get a new EUV resist approved to be evaluated in the EUVL scanner. These outgassing testing requirements are now gone for chemically amplified resists (CAR), as most EUV CAR have been passing the requirements and hence the outgassing test is not critical. For other non-CAR chemistries, up to 100 wafers can be processed in the EUVL scanner before needing outgas testing certificate to continue. This change in requirements ought to drastically increase the number of new chemistries that are being tested for performance, speeding up EUV resist development. In any case, I will assume that outgassing tests will still take place for the few selected CAR candidates for high volume production and for promising new non-CAR chemistries. I would also like to point that there is now lot more focus on negative-tone CAR resists for EUV for meeting the resist requirements.

Intel had a nice paper giving the status of high absorbing EUV resists based on metal oxides, when used in a production environment. The current commercial HfO2 based resist show a shelf life of three to four weeks only, which needs to improve. The patterning performance of these results needs to progress as well, as these resists also have an issue with contrast, and demonstrated scumming and pattern collapse. Intel is currently working on a dry develop process to improve the performance of these resists.

I had been waiting to hear about these metal oxide based EUV resists, as due to high absorption property, they can dramatically reduce EUV dose requirements and hence relax source power requirements. However, it looks like they are not quite ready for production and some people pointed out to me during the conference that it can take three to five years to get a resist ready for high volume production. In a later paper in the same session, Chris Ober of Cornell University showed data on high sensitivity HfO2 (2.2 mJ) and ZrO2 (1.8 mJ) resists, but both resists had LER of ~ 6nm. In an EIDEC paper, I also noted 1.5 mJ resists but LER appeared to be high, although numbers were not given. Chris Ober also pointed out that the nano-aspect of these metal resists does not make it more toxic and these resists have passed outgassing tests at IMEC. Commenting on the Intel paper, presented by one of his ex‑students, he thinks he has some ideas to address the shelf life issue. I also hope that LER requirements will be met, as they are critical for acceptance of a resist for production.

EUV Masks

Although mask defectivity continues to drop, as shown for Hoya mask blanks by TSMC, more work is needed to reach acceptable mask blank defect levels. Patrick Kearney of SEMATECH presented a paper on the use of magnetron, instead of current ion-beam deposition (IBD) technology, to produce mask blanks. The magnetron technology, although still behind IBD in terms of defectivity, provides better reflectivity and better manufacturability. Also, mask pattern shift is the method that is increasingly being employed to reduce defects in patterned masks.

Obert Wood of GlobalFoundries presented a paper on alternate multilayer materials for masks to support higher NA scanners (Ru/Si multilayer with carbon interlayer instead of Mo/Si), which will allow less shadowing and hence smaller through-focus pattern placement errors. The topic of alternate materials for masks was again brought up in the mask topography session in papers from EPFL (Switzerland) and TSMC, showing improved imaging results via using alternate buffer layer materials.

Pei-Yang Yan of Intel talked about her work on reducing the contribution of mask-related LER to the final images. She is now able to get mask roughness down to 47 pm, which contributes only 0.3 to 0.7nm LER to images.

Mask Defect Inspection

Carl Zeiss plans to deliver an AIMS tool in Q4 of 2015 to support mask defect repair, and chip makers are discovering alternate ways to find defects on patterned mask, while an actinic patterned mask defect inspection tool is not available. However, I was happy to hear that KLA-Tencor will have a paper in the upcoming 2015 EUVL Workshop on the status of their actinic inspection tool – as actinic inspection is needed for the shift to high volume manufacturing. Despite a rumor that a high throughput e-beam inspection tool will be presented in the conference, which when combined with a removal EUV mask pellicle would eliminate the need for actinic inspection of patterned EUV masks, I did not hear of such an announcement. So if I missed this news, maybe someone can update me. Even with a pellicle, EUV patterned masks may still have defects that are generated during production from handling, or from contamination trapped between masks and pellicles. Although existence and frequency of these defects has still not been proven, chip makers will prefer to have a through pellicle actinic inspection for patterned EUV masks.

There was also a very nice presentation from Ken Goldberg of LBNL, giving an overview of the SHARP microscope, a tool being used by chip makers to actinically review EUV mask defects. Such projects highlight the value of technology and the skill set available at national labs to support the development of EUVL.

So When EUVL Will Reach HVM?

I had a lunch with a business analyst, who viewed EUVL and this whole debate on NGL as one of the greatest technical challenges of our time. I agree. To him and some readers of my blog, the key question is when will EUVL be used in high-volume production. Per the ASML roadmap, the throughput of NXE3350 at 125 W is ~ 75 Wafers per hour (WPH), and with two 3300Bs being upgraded to 3350 levels and two new 3350 scanners operational at TSMC this year, TSMC can hope for throughput  ~ 300 WPH later this year from their four NXE3350 EUVL scanners.  As source power climbs to 250W in these scanners, throughput per scanner will climb to 125 WPH, or 500 WPH for four scanners. These throughput numbers indicate the capability for moving beyond mere product development. Unfortunately, we will know that EUVL is coming to HVM for sure only when it is announced by one of the leading edge chip makers – GlobalFoundries, Hynix, Intel, Samsung, Toshiba, or TSMC. I am expecting to hear such news in 2016 or at the latest 2017, when topics such as source availability, resist readiness and actinic inspection have been addressed.

Zen and the Art of Technology Development

This winter my favorite Zen teacher is teaching a course on the topic of “Faces of Compassion – Vows of Bodhisattvas.” I learned that Bodhisattvas (beings spiritually advanced but not yet enlightened) reflect the deepest part of ourselves. When we are working in our daily lives (developing new technology which may be a better EUV source or something else) we are living our “vows” and that is how we serve others and ultimately ourselves. I mention this as I see similarities between the fundamentals of technical development and the principles of vows of Bodhisattvas. Computer chips and their manifestations as iPhones or laptops or routers or servers are driving the leading edge of what we humans do today in war or in peace, and what we do is embodied in the “vows” we undertake to serve. Our introspection combined with a global view will reveal the way forward.

Quoting Zen teacher John Tarrant:

“The journey of Buddha isn’t a literal journey that happened long ago… It is here now and paying attention helps you notice that. If you look into the life you have, your looking will lead you into a new life. What you meet on the way is part of the way.”

(John Tarrant, Sudden Awakening)

As philosopher Joseph Campbell once said, “Myths are public dreams and dreams are private myths,” and Moore’s Law is the myth that we chip makers and suppliers are publically living, hoping for EUVL as its enabler.  I and many others have dreamed that EUVL will happen and finally we are seeing the light (so to speak). Now we need to look at what worked and what did not, and why. What are the root causes of the problems we encountered, and how do we address them moving forward?

I have continued to promote EUVL as I have found this technology to be the right and elegant way to move forward. True solutions are often elegant and demonstrate “Satyam, Shivam, Sundaram,” a famous Sanskrit saying which can be translated in various ways but which more or less means that existence itself is enlightened and has been all along. These have been tough times and results are now speaking for themselves. I leave you with this quote from Mary Oliver:

Maybe the desire to make something beautiful

Is the piece of God that is inside each of us.

…….

If being so beautiful isn’t enough, what

Could they (blue horses) possibly say?

(Mary Oliver, Franz Marc’s Blue Horses, Penguin Press)