EUVL Focus

Monthly Archives: July 2018

2018 EUVL Workshop Update

By Vivek Bakshi, EUV Litho, Inc.

The 2018 EUVL Workshop was held last June at CXRO, LBL in Berkeley, jointly organized by EUV Litho, Inc. and CXRO. In this blog I will give highlights, notable mentions and a brief summary of my opinion on the current and future challenges of implementing EUVL in high volume manufacturing (HVM).

Highlights

Keynote talks on manufacturing were given by Intel, ASML and GlobalFoundries. Intel gave an update on the performance of the NXE3400 machine, which is the production level scanner in fabs today. ASML gave the latest in-house performance data for scanners, plus roadmaps.

NXE3400 is showing a clear improvement in terms of uptime (now 80%), cleanliness (particle adders) and power. Pellicles are almost ready to support HVM in terms of defectivity, transmission and ability to handle the power load. I was surprised to see the AIMS tool still as a yellow item, together with pellicles, in Intel’s Infrastructure Readiness list. The APMI tool is the only red item now. The main source for less than optimal availability is still from EUV source components, and improvement in availability is coming from improvement in collector lifetime (0. 25% loss over gigapulses) and droplet generator lifetime.

HVM insertion for Intel is tied to predictability, which will continue to improve with availability of scanners. Just 1% reduction in availability is like losing 4% in power. Materials are now ready for EUVL introduction, but future work on the materials is needed. The challenges are more than photon shot noise; as Intel said, “We must gain a deeper understanding of how EUV radiation interacts with resist and design resist for stochastics. Next generation EUV requires materials innovation.”

In addition to improvement in the NXE3400 scanner at customer sites, there is also progress in-house on scanner development at ASML. Uptime is expected to improve to the desired 90% this year. 140 wafers per hour (WPH) throughput has been achieved using 246 W source at 20 mJ dose. 10x improvement in defectivity (defects added to masks) is noted at ASML but needs to be repeated in fabs.  410 W source power was demonstrated at 50 kHz, which brings in sight the desired 500 W for the next node. Three NXE3400B scanners have shipped so far this year, with plans to ship a total of 20 scanners by year’s end, although I would be happy to see 15 shipped for the year. Last year, 10 scanners were shipped. ASML also has received four orders from three customers for high NA scanners.

Additional Notable Highlights

  • Jorge Rocca of Colorado State in his keynote talk presented an overview of his capillary discharge EUV lasers that can be used for EUVL metrology development.
  • Ladislav Pine of Rigaku and CTU showed development results of similar technology at 46.9 nm at CTU to support next- generation metrology.
  • Intel reported manufacturing of several zero defect-free mask blanks.
  • Veeco is continuing to improve their deposition machines to meet next-generation mask blank requirements. They believe that ion-beam etch is a viable option for future absorber materials.
  • AMAT is the new second supplier for mask blank deposition tools. With a different deposition technology, they are ramping up their efforts quickly. They showed significant improvement in substrate quality for mask blanks in one year, in addition to improving mask blank deposition technology in general. I look forward to their mask blank reflectivity and defect numbers.
  • Marcus Benk of CXRO showed the latest data from high NA SHARP, which is up and serving customers.
  • Tim FühnerofSynopsysobserved that an undercut absorber side wall angle (SWA) improves image log-slope (ILS) while maintaining reasonable depth of focus and exposure latitude.
  • Vincent Wiauxof IMECreportedfirst NA 0.55 experimental bright field-shift measurement using an anamorphic SHARP imaging system anda dedicated maskto validate the simulations.
  • Christina Porter of the University of Colorado showed the latest results on mask inspection using high harmonic generation (HHG), which is also commercially available form KM Labs.
  • New ideas on diffraction optics for mask inspection and out-of-band (OOB) reduction for source collectors were presented by Ken Johnson of K J Innovation.
  • Sascha Migura of Zeiss gave an overview of Zeiss’ progress in optics to get the latest EUVL scanner ready, as well as his continuing work on high NA scanner optics.
  • Yasin Ekinchi of PSI provided a good overview of what we need to understand, and what experiments are needed to understand how EUV resists work.
  • Castellanos of ARCNL pointed to the need of a Roadmap of photoresist performance that includes defectivity. She also gave couple of ideas on disruptive designs that are needed in coming years to fight stochastics and the resolution, sensitivity and LER trade-off. Anisotropy, separating absorption and electron-induced chemistry and by narrowing electron energy distribution may help us in this area.
  • Oleg Kostko of LBL outlined various methods needed to study the EUV resist development process. Step 1- photoionization and step 2 – electronic relaxation can be studied via photoelectron spectroscopy. Meanwhile, Step 3 of atomic relaxation andstep 4 of inelastic scattering can be studied via mass spectrometry.
  • JSR haspartnered with IMEC to enablemanufacturing and quality control of EUV lithography materials for the semiconductor industry.
  • Popescuofthe University of Birminghamshowed thatby optimizing the multi-trigger ratio (MTR)for EUV resist from Irresistible Materials, one can significantly reduce line edge roughness (LER).
  • D. Hinsberg of Columbia Hill Technical Consulting (co-author S. Meyers from Impria)showed a simple model of MOx resist to establish a quantitative link between photochemistry and imaging.
  • Puneet Guptaof UCLAmentioned that LER requirements can be harsh for EUV (< 3 nm for single patterning and < 2 nm for multiple patterning),but some relaxation is possible by smarter optimization of design rules, such as using larger spacing than width.
  • MET5 is almost ready at CXRO to serve end-users working on high NA EUVL development, after aligning optics using interferrometry.
  • Hakaru Mizoguchi showed progress on his Pilot #1, high power source for supporting scanners. High conversion efficiency of 5% is realized with their pre-pulse technology.High speed (> 90m/s) and small (20 micron) droplets are now realized.250 W in-burst power at 50% duty (125 W average) for several minutes were achieved. 113 W at 50 % duty cycle and 85 W average was achieved for 143 hours.

 

Summary

We had the highest attendance this year for the Workshop, crossing the 100 mark. I believe it was due to increased interest in EUVL coming from recent progress, and planned insertion of EUVL this year into HVM.

The main challenge to inserting EUV into HVM today is scanner availability. It is 80% now and 90% is desired. So it not quite ready for Intel yet, but enough for Samsung to start this year (as per press reports). I believe TSMC, Intel and GlobalFoundries will start using EUVL in HVM in coming years. In terms of infrastructure, APMI is the only tool that is not ready, but as two major suppliers now are working on it heavily, I expect it to be ready in a couple of years. Materials challenges, are high – especially with resists, as we still have a lot to learn on how EUV resists work. We also must develop new materials and manufacturing methods to address stochastics. I believe a consortium that can bring together collaborative teams with access to instrumentation is needed and can be located at national labs like LBL.

The summary slides of presentations and proceedings can be downloaded at www.euvlitho.com.