EUVL Focus



New Frontiers for EUVL – Sources and Metrology: Topics for 2017 Source Workshop (November 6-8, 2017, Dublin, Ireland)

By Vivek Bakshi, EUV Litho, Inc.

As the focus of industry and press turns to insertion dates for EUVL in fabs, I am putting my thoughts on future nodes of EUVL for several reasons. First, I see EUVL in high volume manufacturing (HVM) fabs as a done deal, with insertion starting in HVM next year. The insertion dates by leading chip makers will be somewhat staggered because of their existing plans and products, specific insertion criterion, and so on. So there is not too much news in whoever announces using EUVL in a production line a quarter or two before the others. Second, we are approaching the phase of Moore’s Law where we face some difficult challenges in extending roadmaps to 3 nm and beyond. EUVL is in the forefront, but challenges are all around. For EUVL to succeed at these nodes, we need to focus on several technical areas. These areas are ripe for R&D, and successful solutions will lead to new and improved products for those who pay attention and invest. In this blog, I will focus on EUV Sources that will enable not only EUVL scanners but also leading-edge metrology needed for EUVL at 7 nm nodes and beyond. I will highlight how several papers in the upcoming EUV Source Workshop in Dublin (November 6-8, 2017) will address these topics.

High Power HVM Sources             

Industry has decided on Sn laser produced plasma (LPP) as the technology of choice, which uses tin droplets as an energy convertor for CO2 lasers. 250 W is already here, with development in progress for 500 W sources. As we look forward to higher powers of 500 W and beyond, there are a good many challenges than require serious R&D efforts. Current conversion efficiency (CE) is 5%, with 8-9% possible. It is not so easy to get that extra increase in CE, but the benefit is enormous in terms of the need for lower CO2 power (higher scaling), more stable sources (less overhead) and less debris (longer collector and component lifetime). Each of these items is critical, and to work on them we must look closely at fundamental data for Sn, and learn more via modeling and experiments how tin converts from liquid to plasma that generates EUV, while generating debris in the process. How far we can scale plasma sources beyond 500 W is still not known. If we need 1000 W, do we do this with plasma sources or free electron laser (FEL)? We may need to move beyond droplet generated sources for Sn LPP for higher powers, and FEL proposals need further evaluations.

In the source workshop this year, we will have sessions on fundamental data, modeling and high-power sources, which provide insight on these topics. There will be papers from ARCNL, DIFFER, LANL, LLNL, Max Plank Institute, University of Tokyo and many others. We also will have updates on high power source performance from ASML and Gigaphoton.

Broad-band EUV Sources for Wafer Inspection

This is a new topic that has seen much interest recently. As actinic patterned mask inspection tools are not ready, chip makers must rely on wafer inspection to identify mask defects. Current 193 nm based technologies have their limitations in terms of extending to 7 nm and beyond, and we need to reduce the wavelength of inspection tools. A study by NIST has showed that 47 nm (and not 13 nm) is the wavelength of choice for wafer inspection at future nodes. There are several candidates for such sources, and current learnings from EUV plasma source development and its integration can be applied to these broadband sources. I was asked by the source workshop’s committee last year to come up with draft requirements for such sources so that source suppliers have more guidance. So we plan to present a draft proposal for requirements for such sources. KLA-Tencor, Energetiq and ISTEQ plan to present the status of their plasma based broadband EUV sources, which can be applied for wafer inspection. I see another version of EUV sources emerging to help extend the Moore’s Law, by supporting advanced metrology.

Lasers for EUV Source and Metrology

Last week, one of my colleagues alerted me to recent development of 46.9 nm lasers which may be applied for wafer inspection. These lasers have only a fraction of mW of power, but after seeing how well 13.5 nm high harmonic generation (HHG) based inspection prototype tools have done, I believe one ought to review these lasers in the context of wafer inspection. The source workshop also will be covering the latest on HHG lasers and their applications, which continue to be explored as an alternative to actinic aerial image metrology systems (AIMS) and potentially for other mask defect applications. In a 2017 EUVL workshop paper, Prof. Murnane showed how HHG based actinic inspection can do a good job of defect review, and we already know that Samsung is using this technology for their EUVL development. I believe that this technology can be scaled to cover patterned mask defect inspection (PMI) as well, at least for a stopgap basis, while industry works on PMI tools.

One of the other ways lasers help Sn LPP EUV sources are in terms of pre-pulse. Pre-pulse shapes the tin droplet to a larger size, which increases coupling with the CO2 laser and increases source CE. Gigaphoton uses neodymium doped yttrium aluminum garnet (Nd:YAG) lasers with picosecond pulses, while Cymer uses a wavelength from the CO2 laser itself. YAG lasers have their own advantage and it is no small task to develop 500 W ps YAG lasers for per-pulsing. We will have updates from Trumpf and HiLase on their programs to develop these ps pre-pulse lasers, which also may play a role in FEL based EUV sources.

Metrology Sources- Plasma based and beyond

Metrology sources at 13.5 nm will enable actinic patterned mask inspection. The current workhorse for industry is the source from Energetiq, and they need higher brightness for meeting HVM requirements. Many are working hard to meet the HVM metrology source requirements by plasma sources, and Ushio, ISTEQ, ETHZ and Fraunhofer will update us on the latest in their metrology sources.

What has me excited is a new concept beyond plasma. In the 2017 EUVL workshop, we heard about compact accelerator based sources that can potentially power a scanner. Now we have a proposal from PSI for a compact source for metrology that is also based on accelerator technology. I look forward to finding out more about this non-plasma based metrology source technology.

So I am looking forward to lots of exciting papers next month in the workshop that address leading edge EUVL topics, and I will report back in a future blog on what I learn at the workshop. Abstracts for these papers and the agenda for the workshop are available at www.euvlitho.com.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

One thought on “New Frontiers for EUVL – Sources and Metrology: Topics for 2017 Source Workshop (November 6-8, 2017, Dublin, Ireland)

Leave a Reply

Your email address will not be published. Required fields are marked *