EUVL Focus

Monthly Archives: March 2013

2013 International Workshop on EUVL: Maui, Hawaii, June 10-14, 2013

List of Leading EUVL Technical Challenges
  
This year, based on the feedback of technical steering committee, we have created a list of leading technical challenges as suggested topics to be addressed by presenters in the 2013 EUVL Workshop. We encourage authors to review this list and choose one of the specific topics for their papers in the area of source, mask, optics, resist and BEUV.
Source
Power scaling for current Sn based DPP and LPP sources
Physics of high power plasma and non-plasma sources (100 W -1000 W)
High brightness EUV sources to support mask metrology
BEUV Sources
Source requirements for high NA scanners for 10 nm and smaller nodes
Source power requirements for 450 mm wafer scanners
Optics and Contamination
High NA optics manufacturing
High NA scanner design
Thermal management for LPP normal incidence collectors
High reflectivity BEUV optics
Source debris management strategy
Carbon contamination and low contamination materials and process
Strategies for optics contamination control
Mask
Strategies for low defect mask blanks
Mask technology to support high NA scanners, including transition to 9 inch masks
Mask defect metrology: New approaches
Mask pellicles
BEUV (6.x nm) masks
Resist
Meeting simultaneous requirements for LER, sensitivity and resolution for EUV resists
Post-processing approaches to reduce LER
Resist materials and process for 1x node
High absorption resists
Resists materials for BEUV (6.x nm)

Bring me the rhinoceros: A Review of the 2013 SPIE Advanced Lithography EUVL Conference

Vivek Bakshi, EUV Litho Inc., February 28, 2013
Technical Highlights
The 2013 SPIE Advanced Lithography EUVL Conference started with many of us looking forward to Sam Sivakumar’s  kickoff presentation on results from Intel’s EUVL pilot line. Sivakumar pointed out that printing vias and cuts is the real advantage of EUVL over 193nm immersion based lithography (193i). In order to investigate the feasibility of extreme ultraviolet Lithography (EUVL), his group produced the same 22 nm products that Intel manufactures using 193i scanners. Products made using EUVL demonstrated equal or better performance, and most importantly lacked EUV-specific defect nodes. He noted source power and particles added to the mask during manufacturing as two major challenges for EUVL. The source power issue is not new, but particles on pellicles can make EUVL manufacturing prohibitive.
Surprisingly, in the third paper of the session, ASML presented elegant results on development of EUVL pellicles – with 86% transmission (against 90% needed) that meet imaging and mechanical requirements and only need some scaling. These pellicles have almost no effect on imaging, unless the particles are larger than 1 micron, and can be fully cleaned as well. Also, if the pellicle breaks by accident, ASML said they can clean the mask using a dry clean process.
Scanner Status by ASML
ASML is essentially an integrator and their update was full of continuous improvements. NXE3300B is a solid improvement over NXE3100. In their presentations, one sees ASML’s style of making innovation and improvement part of business as usual. What I like the most about ASML is that they do not play the "blame game." They never say in public, "if sources are ready, we will have the tool ready." If they become an EUVL source supplier through their acquisition of Cymer, we will see if this attitude changes.
The most important information that I got out of ASML’s presentation was how source power will relay to throughput, a relationship that will help us figure out the progress of EUVL. Scanner stages are ready for 100 wafers per hour (WPH) tools and if mask fields need to be split for higher numerical aperture (NA), I expect that they will be able to turn this knob a little to partially compensate for throughput loss. NXE3100 scanners are supposed to have a throughput range of 6 – 60 WPH and NXE3300B scanners of 50-125 WPH. The ratio of source power to WPH will increase from about 1 now (10 WPH for 10 W with NXE3000) to 1.25 (43 WPH at 55 W for NXE3100 in the near future). For NXE3300B, the ratio will rise to 1.6 (80 W for 50 WPH) and then to 2 (250 W for 125 WPH). I expect this to be due mostly to higher dose requirements, plus a few other factors such as availability and reduced scanner throughput at higher NA.
Source Technology Status
Some progress has been made, but a large gap remains. 40 W in 2014 from Cymer looks promising. I am also somewhat optimistic about 60 W with 100% duty cycle (DC) and long term operation by the end of next year, at least in non-integrated sources.

1) Ushio, maker of laser-assisted discharge produced plasma (LDP) sources, showed that they now have > 80% availability for their 6 W source at IMEC’s 3100. They have now demonstrated 51 W at 80% DC for 1 hour and 74 W at 12% DC for couple of minutes. As it has taken them a long time to realize acceptable high availability of 6 W sources, we know that scaling is no small task. It was not clear if LDP will be used for first NXE3300B prototypes, as was done for NXE3100.

2) Gigaphoton had > 7 W in 2012 from their Sn laser produced plasma (LPP) sources but they noted the scaling challenge and went back to the drawing board to address the issues of reliable droplet generation, pre-pulse laser for high conversion efficiency (CE) and debris mitigation. After proof of principal of their new design, they are working now to scale up their source from a current 10 W at low duty cycle, using 20 micron drops and 5 kW CO2 laser. Their new approach looks technically solid and I am expecting good progress this year. For 250 W Sn LPP sources, they are working on a 40 kW CO2 laser module.

3) Cymer’s sources in the field are averaging 10 W today with > 65% availability. These sources have >  0.5% dose stability. For upgrades, they have a 40 W source with 0.2% dose stability that they have used for 100% duty cycle for six one-hour runs. They also had a one-hour run of a 55 W source and feasibility of 60 W was demonstrated. This technology is for NXE3100 sources and they expect it to be ready for the scanners by Q3 of this year. They still will need to transfer this technology to NXE3300B, so I am not sure when the 80 W sources needed for these scanners will be ready. I will be delighted if 40 -50 W sources are ready and in the field in 2014. The Cymer team has done good work and has a roadmap for 250 W; but inasmuch as they have talked for many years about delivering high levels of source power and have not been able to do so, there was some skepticism in the audience toward their roadmap.

New Technical Solutions
The conference presented a large number of solutions for EUVL challenges, and several were good news:

1) A paper by Nissan Chemical (8682-9) titled, "The novel solution for negative impact of out-of- band (OBB) radiation and outgassing by top coat materials in EUVL," provided welcome news about OOB radiation and resist outgassing. Topcoat on resist was shown to eliminate OOB radiation from source as well as outgassing. It was a relief, as there has been ongoing discussion about the extent of OOB radiation, its effect on imaging and losses in a spectral purity filter (SPF).  So now we may not have to worry about OOB radiation, SPF losses and contamination from resists may be a thing of the past.

2) It looks like resist suppliers are working hard to make EUV resists ready, with several good resist papers presented. Among them was a nice review by JSR Micro (#8682-28) titled, "Novel EUV resist materials and process for 20 nm half-pitch and beyond." EUVL resists need to simultaneously meet the requirements of sensitivity, line edge roughness (LER) and resolution. One challenge that has been pointed out repeatedly is that a higher-than-expected dose is needed for best possible performance from a given resist. High absorbing resists (hybrid resists and resists with metal oxide particles) were presented as options in several papers and may allow us to adequately deal with increasing dose demand. As these resists will be more sensitive, I think that they will provide some relief from the increase in the source power requirements coming from shot noise based limitations.

3) Directed self assembly (DSA) was presented by IMEC as an aid for improving EUV resists performance (8682-10). We can expect to see increasing use of DSA in EUV resists.

4) Mask blank defects have been a challenge that has consistently proven hard to mitigate.  Lasertech (8679-17) showed data from their tool that can detect 1 nm high and 33 nm wide defects with 100% accuracy. As shown in many papers, the number of defects in mask substrates and mask blanks remains stubbornly high. However, in the last session of the conference, a paper by IBM (8679-53) delivered good news on mask defect repair for phase and amplitude by nano machining. By looking at mask defects using AIT (mask inspection tool from CXRO), they were able to model the  number of multilayers (ML) that may need to be  removed or added to the mask blank so that the Bossung curve for the resulting ML is what is expected for a defect-free ML! They presented many examples, and I believe that although this process seems laborious, it may get widely adopted along with mask blank defect reduction to address this leading challenge for EUVL.

5) As we move to higher NA, absorber thickness becomes a larger issue due to higher shadowing. One solution presented utilizes phase-shifted masks, which are a short stack of ML etched into the mask blank, and topped by thin absorber to provide destruction interference to enable thinner absorber layers. New materials choices of Ni and Ag were presented in papers as alternatives to the current set of mask absorbers.

6) As EUVL moves to the 10 nm node and below, one option for achieving increasingly smaller patterning is double patterning with EUV. Intel confirmed success for this process in their pilot line and in the last paper of the conference IMEC and AMAT demonstrated 9 nm HP dense L/S patterning using NXE3300B!

New Challenges
The conference also delivered a list of new EUVL challenges. I already mentioned the challenge of particles added to the pellicles. As EUVL is readied for smaller nodes with high NA optics, the angle of incidence on the mask is going to increase. Options to address this issue include 1) breaking the exposure field into two or four parts, 2) adding two additional mirrors to the scanners and 3) increasing mask size from the current 6 inches to 9 inches.
Winfred Kaiser of Zeiss summarized various technical options for the industry.  In his paper, he suggested "going with 6 inch masks with quarter field and 8x magnification" as the best option for 0.5 NA. However, breaking the pattern into many parts will further downgrade the throughput.  Harry Levinson of Global Foundries offered "6 x magnification with 9 Inch masks" as the best solution for 0.5 NA. He also stressed the need to continue working with 6 inch masks as long as we can. Going to a larger mask means upgrading mask infrastructure tools to handle 9 inch masks, which will be very difficult and could take a couple of years. However, this approach may involve changing only the handling part of tools, while leaving the key technical core of the tools the same. In any case, moving to 9 inch masks will be painful for mask makers and we can expect to hear more on this topic from them.
Best Papers
The following four papers seemed outstanding to me:

1) A paper by Harry Levinson titled "Considerations for high-numerical aperture EUV" (8679-41) was my first choice. He not only elegantly outlined the technical challenges, he also proposed a comprehensive set of business solutions and challenges to their implementation.

2) A paper by Luigi Scaccabarozzi  of ASML, "Investigation of EUV pellicle feasibility" (8679-3), showed how quickly this supplier has addressed a critical challenge which could have been a showstopper.

3) A paper by Shannon Hill of NIST titled, "Relationship between resist outgassing and witness sample contamination in the NXE outgas qualification using electrons and EUV" (8679-19) was an excellent technical work looking into the mechanism of resist outgassing and contamination. His group has continued to lead in the basic work of understanding the mechanism of contamination in EUVL.

4) A paper that I would like to cite for its excellent presentation style was offered by Ken Goldberg of CXRO as "Commissioning a new EUV Fresnel zone plate mask-imaging microscope for lithography generations reaching 8 nm" (8679-44). His outstanding talk set the standard for how to present a complex topic and immense technical achievements in a very elegant way, and the audience was very impressed. I will recommend that SPIE post Ken’s paper on their website as a standard for SPIE authors wishing to make an excellent technical presentation.

Other Observations
Despite moving the conference to a larger venue, there was still standing room only for key talks.

450 mm was not mentioned once in any paper in the EUV sessions!

Although sources remain the biggest challenge in EUVL, discussion on this topic was limited pretty much to suppliers showing their roadmaps. I spoke to many people about the source power issue and the lack of funding for source R&D. All agreed, but acknowledged that no action by the industry has been taken yet. Part of the issue, as some mentioned, is that source R&D needs cannot be fully addressed until ASML’s acquisition of Cymer is final, as then it will be something for ASML to address.

Summary of HVM Readiness of EUVL
Hynix presented their 2009 cost of ownership (COO) calculations for various next-generation lithography (NGL) techniques. They indicated that COO for an EUVL scanner at about 35 WPH would be the same as COO for double patterning. They said the COO equation has not changed much since 2009, although I think it will change some for smaller nodes, since for them higher source power will be needed.
I expect 40 W sources in the field next year. I will be delighted if NXE 3300Bs are in the field by the end of 2014 with a source as well, but I am not sure if 80 W sources will be ready by then.  I do not think we will have 100 W sources in field before 2015.  However, I do not want EUVL HVM insertion to shift from 2014 to 2015, so I can win my bet with Lithoguru Chris Mack and claim his Lotus as my own!
Bring Me the Rhinoceros
Last month, I decided to take a three-month introductory course on Zen Koans in the local Zen Monastery. (For those not familiar with Buddhism, a koanis a question without a real answer, and is aimed at getting the student to think deeply.) The first Koan, which students can study many years in a traditional Zen monastery, is called the Mu Koan. It goes like this:
 A monk asked his Master ZhaoZhou, "Does a Dog have the Buddha nature, or not?"
Master ZhaoZhou replied, "Mu" (Japanese for No).
One of the central ideas in Buddhism is that all things have Buddha nature, so this answer does not make sense. A pupil is supposed to work with this Koan for a long time. There is no standard answer and the master judges each pupil’s answer differently. I had the homework of applying this Koan to whatever was happening to me during the week, and report back what I learned. As I was at the SPIE Advanced Lithography conference, I decided to rephrase the Koan as "250 W is needed for HVM adoption of EUVL and EUVL will be in HVM in the next two years. Does that mean we will have 250 W sources ready?"  Having spent over ten years in the EUVL source business, I think I will answer my own Koanwith a Mu, while still acknowledging EUVL as the leading technology in the next two years. I will continue to give a dialogue on this topic in my blog in coming weeks.
I would like to leave my readers with the second Koan from my class called "Bring Me the Rhinoceros," and invite you to contemplate how it relates to the "Art and Science of Making Computer Chips." 
One day, Master Yanguan called to his assistant, "Bring me the rhinoceros fan."
The assistant said, "It is broken."
Master Yanguan replied, "In that case, bring me the rhinoceros."
Second Koan used here is from a book by John Tarrant titled "Bring Me the Rhinoceros," Shambhala Press, 2012.