EUVL Focus

Monthly Archives: October 2017

New Frontiers for EUVL – Sources and Metrology: Topics for 2017 Source Workshop (November 6-8, 2017, Dublin, Ireland)

By Vivek Bakshi, EUV Litho, Inc.

As the focus of industry and press turns to insertion dates for EUVL in fabs, I am putting my thoughts on future nodes of EUVL for several reasons. First, I see EUVL in high volume manufacturing (HVM) fabs as a done deal, with insertion starting in HVM next year. The insertion dates by leading chip makers will be somewhat staggered because of their existing plans and products, specific insertion criterion, and so on. So there is not too much news in whoever announces using EUVL in a production line a quarter or two before the others. Second, we are approaching the phase of Moore’s Law where we face some difficult challenges in extending roadmaps to 3 nm and beyond. EUVL is in the forefront, but challenges are all around. For EUVL to succeed at these nodes, we need to focus on several technical areas. These areas are ripe for R&D, and successful solutions will lead to new and improved products for those who pay attention and invest. In this blog, I will focus on EUV Sources that will enable not only EUVL scanners but also leading-edge metrology needed for EUVL at 7 nm nodes and beyond. I will highlight how several papers in the upcoming EUV Source Workshop in Dublin (November 6-8, 2017) will address these topics.

High Power HVM Sources             

Industry has decided on Sn laser produced plasma (LPP) as the technology of choice, which uses tin droplets as an energy convertor for CO2 lasers. 250 W is already here, with development in progress for 500 W sources. As we look forward to higher powers of 500 W and beyond, there are a good many challenges than require serious R&D efforts. Current conversion efficiency (CE) is 5%, with 8-9% possible. It is not so easy to get that extra increase in CE, but the benefit is enormous in terms of the need for lower CO2 power (higher scaling), more stable sources (less overhead) and less debris (longer collector and component lifetime). Each of these items is critical, and to work on them we must look closely at fundamental data for Sn, and learn more via modeling and experiments how tin converts from liquid to plasma that generates EUV, while generating debris in the process. How far we can scale plasma sources beyond 500 W is still not known. If we need 1000 W, do we do this with plasma sources or free electron laser (FEL)? We may need to move beyond droplet generated sources for Sn LPP for higher powers, and FEL proposals need further evaluations.

In the source workshop this year, we will have sessions on fundamental data, modeling and high-power sources, which provide insight on these topics. There will be papers from ARCNL, DIFFER, LANL, LLNL, Max Plank Institute, University of Tokyo and many others. We also will have updates on high power source performance from ASML and Gigaphoton.

Broad-band EUV Sources for Wafer Inspection

This is a new topic that has seen much interest recently. As actinic patterned mask inspection tools are not ready, chip makers must rely on wafer inspection to identify mask defects. Current 193 nm based technologies have their limitations in terms of extending to 7 nm and beyond, and we need to reduce the wavelength of inspection tools. A study by NIST has showed that 47 nm (and not 13 nm) is the wavelength of choice for wafer inspection at future nodes. There are several candidates for such sources, and current learnings from EUV plasma source development and its integration can be applied to these broadband sources. I was asked by the source workshop’s committee last year to come up with draft requirements for such sources so that source suppliers have more guidance. So we plan to present a draft proposal for requirements for such sources. KLA-Tencor, Energetiq and ISTEQ plan to present the status of their plasma based broadband EUV sources, which can be applied for wafer inspection. I see another version of EUV sources emerging to help extend the Moore’s Law, by supporting advanced metrology.

Lasers for EUV Source and Metrology

Last week, one of my colleagues alerted me to recent development of 46.9 nm lasers which may be applied for wafer inspection. These lasers have only a fraction of mW of power, but after seeing how well 13.5 nm high harmonic generation (HHG) based inspection prototype tools have done, I believe one ought to review these lasers in the context of wafer inspection. The source workshop also will be covering the latest on HHG lasers and their applications, which continue to be explored as an alternative to actinic aerial image metrology systems (AIMS) and potentially for other mask defect applications. In a 2017 EUVL workshop paper, Prof. Murnane showed how HHG based actinic inspection can do a good job of defect review, and we already know that Samsung is using this technology for their EUVL development. I believe that this technology can be scaled to cover patterned mask defect inspection (PMI) as well, at least for a stopgap basis, while industry works on PMI tools.

One of the other ways lasers help Sn LPP EUV sources are in terms of pre-pulse. Pre-pulse shapes the tin droplet to a larger size, which increases coupling with the CO2 laser and increases source CE. Gigaphoton uses neodymium doped yttrium aluminum garnet (Nd:YAG) lasers with picosecond pulses, while Cymer uses a wavelength from the CO2 laser itself. YAG lasers have their own advantage and it is no small task to develop 500 W ps YAG lasers for per-pulsing. We will have updates from Trumpf and HiLase on their programs to develop these ps pre-pulse lasers, which also may play a role in FEL based EUV sources.

Metrology Sources- Plasma based and beyond

Metrology sources at 13.5 nm will enable actinic patterned mask inspection. The current workhorse for industry is the source from Energetiq, and they need higher brightness for meeting HVM requirements. Many are working hard to meet the HVM metrology source requirements by plasma sources, and Ushio, ISTEQ, ETHZ and Fraunhofer will update us on the latest in their metrology sources.

What has me excited is a new concept beyond plasma. In the 2017 EUVL workshop, we heard about compact accelerator based sources that can potentially power a scanner. Now we have a proposal from PSI for a compact source for metrology that is also based on accelerator technology. I look forward to finding out more about this non-plasma based metrology source technology.

So I am looking forward to lots of exciting papers next month in the workshop that address leading edge EUVL topics, and I will report back in a future blog on what I learn at the workshop. Abstracts for these papers and the agenda for the workshop are available at www.euvlitho.com.

EUVL Technology Status Update

By Vivek Bakshi, EUV Litho, Inc.

This blog gives the latest update on the status of EUVL, based on data released this summer from the 2017 EUVL Workshop, 2017 Semicon West and recent announcements. This update is in the format that I previously introduced to simplify the vast amount of information from the 2017 SPIE AL EUVL Conference. It includes a short summary of EUVL Status, a list of notable updates since the 2017 SPIE AL meeting, and additions to the current list of EUVL Challenges at various nodes (complete list previously published on this site (List of challenges at 7, 5 and 3nm nodes). Another blog, “New Frontiers for EUVL – Sources and Metrology” will be published tomorrow on this site. 

  1. Current EUVL Status

 

Source: 250 W standalone source power has now been demonstrated at ASML (210 W in Q1 2017). Current power of integrated sources is at 148 W, corresponding to 104 wafers per hour (WPH) scanner throughput in-house at ASML. Stable 130 W power in scanner has been noted in field. In ASML lab, EUV source power is 375 W, in burst mode at 50 kHz. 200 W of stable power may be available in field in 2017 or early 2018. Source power is now meeting requirements for the introduction of NXE3400. Current source availability is at 75%, while high volume manufacturing (HVM) requirements are >90%. Lifetime of droplet generators and collectors are improving, but need further improvement to meet HVM requirements. Collector lifetime in 2016 was 1.5x better than the previous year. Reflectivity drop for collector is now 0.4% per gigapulse. Encouraging progress by Gigaphoton, a second supplier of high power HVM EUV sources. Options for EUV Sources beyond 500 W are under study. 

Scanners: Fourteen EUVL scanners are now in field. Four scanners were shipped in 2016. Specs are 0.3 nm critical dimension uniformity (CDU) and 1.8 nm overlay. For 148 W, scanners demonstrate 104 wafers per hour (WPH) throughput, with an increase of 8 wafers WPH achieved via increase of stage speed at the same source power. NXE 3300 scanner availability at >75%. The top contributor to scanner tool downtime is the exposure source.

Higher numerical aperture (NA) EUVL scanner design is now ready, with anamorphic optics (4x/8x magnification) for EUVL extension. Higher NA scanners will have smaller depth of focus (DOF) (1/3 of 0.33 NA tools), print half field – so will require stitching. Scanners will have requirements for a larger cleanroom. For defect inspection for mask for these tools, a higher resolution for actinic inspection for defect review (AIMS), mask blank inspection (MBI) and patterned mask inspection (PMI) tools will be needed.

Masks: Mask blank defects are acceptable for now via defect avoidance and repair. Mask defects > 60 nm are zero. Total defects >23 nm spherical equivalent volume diameter (SEVD) in low single digits, with actual number depending on mask pattern density. Compensation for 3D mask effects in the near term will be done using source mask optimizing (SMO) and in the longer term by using new materials for mask stacks.

Mask Pellicles: Mask defect addition during manufacturing is still a concern for chip makers. The unpredictability of adder events drives the need for pellicles. Pellicles can now withstand 140 W of source power and plans for cooling hardware are in place for a 205 W upgrade. Pellicles need to be ready for 250 W by 2H 2017. Current single pass transmission is 85%. Current fixed pellicle design needs to evolve to provide future solutions. New carbon nanotube based pellicles have been proposed from IMEC. Intel tested pellicles for >4 K wafers at 140 W with no added defects. This is a current topic of focus for readiness for HVM. 

Mask Defect Inspection: Need for AIMS, mask blank inspection (MBI) and PMI remains. Defect review is being addressed. Samsung has made its own AIMS tool for defect review and plans to use it for HVM. Tool is using a high harmonic generation (HHG) based EUV source and a scanning zone plate. Zeiss is now shipping its first AIMS tool. Actinic patterned mask inspection (APMI) tool is still missing, while MBI tools are ready for current needs. Mask defect inspection is being done via wafer inspection for now, but at a cost and with lower yield. APMI is the only red flag item for 7 nm insertion of EUVL. We need APMI for pelliclized masks.

Resist: Adequate for 7 nm node but better local CD uniformity (LCDU) required for future nodes. To address stochastics, we need increased EUV dose and increased EUV absorption of resists. EUV resists with smaller reactive volume, more uniform distribution of components, fewer components and higher dissolution contrast are needed. Lots of talk about stochastics, but I believe it will be addressed and it is not a showstopper, although it will need a good bit of work. It is important to note that resist image is only an intermediate step, and there are still several knobs available to improve the image and performance of the final circuit – which is what matters.

Need to understand the interaction of EUV radiation with resist and design resist materials for addressing stochastics. Need to address new challenge of micro-bridging (also called nano-bridging). Its relationship to dose, type of resist and linewidth roughness (LWR) is not clear. Optical proximity correction (OPC) and Litho-etch optimization may help reduce this effect.

Continued work on chemically amplified resists (CAR), metal based inorganic resists and molecular resists to support 7 nm and beyond. Out of band (OOB) filter is now in scanner that also acts to keep out resist outgassing products.

 

  1. Notable Updates (Since 2017 SPIE AL Meeting)

 

Scanner and imaging

  • >1 M wafers exposed on NXE 33x0B in fabs.
  • Meeting 5 nm logic requirements with 0.3 nm CD uniformity (CDU) (13 nm L/S) with LWR at 3.8 nm (34 mJ) and 3.2 (58 mJ).
  • Now imaging 20 nm contact holes (CH) with CDU of 1.2 nm.
  • Demonstrating clear benefit in terms of illumination for NXE3400 over NXE3300.
  • NXT to NXT matched overlay is now 1.8 nm.
  • Throughput of 104 WPH for 148 W source for NXE:3400B (at ASML – Q1 2017)
  • Faster wafer swap, transmission improvement and source power increase will enable 125 WPH.
  • Zero adders during scanner operation, with light on, for 2400 wafer exposure demonstrated.
  • Larger NA (0.55) scanner will result in reduces dose requirements and higher effective throughput (as fewer LE steps will be required).
  • High NA will also help mitigate LCDU.
  • Infrastructure already in construction at ASML and Zeiss for high NA EUVL scanners.

 

Source

  • 250 W demonstrated at ASML.
  • CE is now at 5.7% at ASML.
  • >700 hour droplet generator lifetime
  • In burst power of 375 W, in lab
  • Designs now available for 1 kW EUV source based on FEL emission in compact storage ring, and these designs need to be evaluated.
  • Discussions continue for which technology will support 1 kW EUV sources: plasma or free electron laser (FEL)/accelerator based approaches. Work is continuing in Japan on FEL based sources for EUVL.

 

Pellicles

  • Pellicle films produced without defects that print on wafers.
  • Pellicles for NXE:3400B can withstand 140 W. Y-nozzle cooling is expected to extend this to 205 W.

Resist

  • Continued work on fundamentals and evaluating performance of new resists. Metal oxide (MOx) resists provide opportunity to reduce LWR via etch and enable co-integration with newer integration schemes.

 

Mask

  • 100x reduction in overspray reported by Veeco, resulting in reduced mask blank defect density
  • Ion beam target overspray, target nodule formation, and particle entrainment in the ion beam, are potential ultimate limitations to particle reduction in ion beam deposition (IBD).
  • Veeco proposed Biased Target IBD and Target Confined Plasma as alternate deposition technology to IBD in long term.

 

  1. New Additions to existing list of challenges for EUVL (Since 2017 SPIE AL meeting, please see previous blogs (Challenges at various future nodes and Update from 2017 SPIE AL)for a complete listing) and for detailed technical information review Proceedings of 2017 EUVL Workshop or Summary of 2017 EUVL Workshop.

 

7 nm

Nothing new 

5 nm

Need for 350 W pellicles

3 nm

Need for 500 W pellicles

2 nm

1 kW power may be needed. How far can we stretch the LPP technology (laser power, droplet generator, contamination)? What are the challenges for FEL?

What will be the pellicle requirements?

New cap layers for Mask and optics