EUVL Focus

Monthly Archives: February 2019

Current Challenges and New Frontiers for EUV Sources: Update from 2018 Source Workshop

By Vivek Bakshi, EUV Litho, Inc.

The 2018 Source Workshop was held in November in Prague, co-organized with HiLASE. Workshop location was a brand new facility of ELI-BL across the street from HiLASE. These two institutions are doing leading work on developing new laser technologies. It was exciting to hold the workshop at these world-class institutes, where leading lasers not only are being developed, but also are being made available for exploration of new frontiers of fundamental research and industrial applications.

Among the highlights from the workshop was ASML’s presentation of progress in EUV source and EUVL scanner. The latest version of the scanner currently has 246 W source with 80% availability to support 140 wafers per hour (WPH) throughput. It was pointed out that the reason for downturn is that long mean time to repair (MTTR).  Pellicle transmission is now at 83%, with a goal of 90%.

EUV source power is now feasible at 450 W (3% duty cycle and 15 ms bursts). Such sources will provide additional power for the next generation of EUVL scanner at 0.3 NA. However, power requirements for the 0.5 NA scanner are yet not clear, and also we lack clarity on how well traditional Sn LPP will support power requirements between 500 – 1000 W. Free-electron laser (FEL) sources technology is an option, but so far we do not have experimental results on which to base our opinions.

Metrology sources for EUVL are getting ready to support high volume manufacturing (HVM) level mask metrology tools. The brightness, long term stability, power and cost of ownership need to improve to support 5 nm node tool specifications.

Blue-X: The New Frontier

The Source Workshop this year focused on Blue-X, a potential extension of EUVL via wavelength reduction. After the high NA option, wavelength reduction offers an attractive approach for extending Moore’s Law, and now is the time to start looking at the pros and cons of this option to extend optical projection lithography via EUVL. There were about a dozen papers on this topic addressing source and optics that will be required for any wavelength reduction. There were several papers, including a keynote talk, on how sources for wavelengths from 10-1 nm can be generated. There is no fundamental limit on generating such sources via laser-produced plasma (LPP) or FEL. There was very cautious optimism that we can make such sources. LPP sources have inherent discreteness in emission, which is not shared by FEL. FEL, however, in addition to not having demonstrated high power, has several other challenges that also need to be addressed, in order for it to be a viable candidate for HVM.

It was clear in the workshop that the key to success for Blue- X may largely depend on the successful  development of the required optics, which may end up guiding the wavelength selection. Torsten Feigl of optiXfab clearly showed that challenges to having viable optics are severe, but he was also clear that we must start NOW, if we ever want this optics to be ready. In the coming years, I expect to see some progress in traditional and new approaches for optics, and I also look forward seeing to what my brilliant colleagues will come up for continued extension of Moore’s Law. One thing that is clear to me is that Moore’s Law is not dead, and we have room for growth. With optimism, hard work, innovation and investment, we will can extend optical projection lithography beyond 13.5 nm.

Other significant updates from the workshop

Lifetime achievement award

It was indeed a well-deserved honor when a Lifetime Achievement Award was given to Prof. Gerry O’ Sullivan, who retired last fall from UCD Physics. In “retirement,” he is promising to be as active in research as before, without regular teaching expectations. He is credited with finding out in the mid‑1980s that a strong narrowband emission is generated from a plasma of rare earth elements, that the peak wavelength scales with the atomic number of the element, and that a Sn plasma emits a strong band with the spectral peak at 13.5 nm. These observations are very significant in laying the foundation of Sn LPP based EUV Lithography, which today is extending Moore’s Law into coming decade. We thank Gerry for his contributions and his continued engagement in his work on the theoretical and experimental aspects of LPP sources, which will not only allow us to extend the power of LPP sources, but may also lead us one day to successful introduction of Blue-X sources for extension of EUVL.

 

In the following section, I have listed summaries  of talks by many of the notable speakers at the workshop.

 

Igor Fomenkov, ASML

Thirty-four NXE EUVL scanners systems are now operational at customer sites. Dose-controlled power of 250 W has been demonstrated on multiple tools at customer locations. Collector lifetime is now ~ 150 billion pulses in the field. Higher power CO2laser development continues to support EUV power scaling. Droplet generator with improved lifetime and reliability of >700 hour average runtime has been shown in the field. A more than 3X reduction of maintenance time for droplet generator has been achieved. The path toward 500 W EUV power has been demonstrated in research. Conversion efficiency (CE) is up to ~ 6 %. In-burst EUV power is up to 450 W.

Gerry O’Sullivan, University College Dublin

CE can still be increased at 13.5 nm for Sn LPP sources. Modeling needs more atomic data for better predictions. Solid state mid-infrared (IR) lasers could give better beam profiles (spatially and temporally).

For Blue- X options, the ideal source depends on mirror bandwidth.  For very narrow bandwidth at low wavelength, H-like 1s-2p line in low Z ions will do best. Water/ammonia/organic liquid droplet with dual ps pulse irradiation are options.

Vivek Bakshi, EUV Litho, Inc.

I presented following questions to the research community in regard to Blue-X (an option to extend EUVL via wavelength reduction):

  1. How we can get ~70% reflectivity in the 10-1 nm region?
  2. How far can new multi-layer (ML) deposition technologies take us in reducing interface roughness for increased reflectivity at lower wavelengths?
  3. What innovations are possible in optics for Blue-X?
  4. Is unresolved transition array (UTA) the best option for Blue-X sources – and if so, which one? Lighter elements?
  5. Drive lasers for 100- 300 kW – which technology offers the best cost of ownership (CoO)?
  6. FEL – can we deliver 500- 1000 W, while addressing current concerns about FEL?

Hans Hertz, KTH/Albanova

Blue-X sources: Liquid-jet laser plasmas with wavelength 2-11 nm emission are decently well understood. Power and stability targets are possible. Mirrors? Will it happen?

Klaus Bergmann, Fraunhofer– ILT

Proposed alternative emitters for 6.x nm (in addition to known Gd and Tb) of line emitters (e.g. Al and Gd alloys with a lower melting point). Also presented results for quasi-broadband emission in water window region for Ar and Ar/S02.

Torsten Feigl, optiX fab

It‘s really hard to make high-reflective multilayers for wavelengths < 13.5 nm. Challenges are low reflectance and narrow bandwidth. We need to match source emission with multilayer absorption edges. Still a very long and steep way to go … but good to start now.

Meisels, Institute of Physics, Austria

For 13.5 nm (Mo/Si system), combined grading and superlattices enables all-angle reflection. For sub 10 nm reflectance of Cr/Sc multilayers near 3.12 nm can be >60%.

W. Siders, Lawrence Livermore National Laboratory

Scalable and efficient 2-mm beam analysis tool (BAT) laser is a strong candidate for the next-gen Blue-X driver laser. Systems are possible with pulses of 3 J, 100 k Hz, 100 fs.

Johannes Kaschke, TRUMPF

Roadmap towards higher EUV-power scaling and possible scaling options were presented. Possible increases in power via repetition rate scaling could pave the road toward 500 W EUV sources.

Sebban, Université Paris-Saclay

Sources developed that enable single-shot coherent diffractive imaging (CDI) experiments (narrow bandwidth, high coherence, Fourier limited).

Thomas Metzger, TRUMPF

1 myttrium aluminum garnet (YAG) lasers with 500W, 6-100 kHz (standard) demonstrated. Under development 1kW, 5-100 kHz (standard – new goal 500fs).

Akira Endo, HiLASE

Proposed high brightness attosecond EUV-XUV sources. Quantum technology will be leading the next decade. Continued development of kW, picosecond Yb:YAG laser as a driver for advanced light sources.

Peter Kraus, ARCNL

Proposed high harmonic generation (HHG) sources as ultrafast, coherent, tabletop XUV/soft X-ray sources. Unique EUV/soft X-ray HHG source (<600 eV / 2 nm) will serve many collaborations within and outside ARCNL. HHG lasers will be used for study of spectroscopy of resists.

Hakaru Mizoguchi, Gigaphoton

Pilot#1 is up and running, and has demonstrated HVM capability. High conversion efficiency (CE) of 5% is realized with pre-pulse technology. High speed (>90m/s) and small (20micron) droplets have been realized. Output power of 250 W, in-burst mode, 50% duty cycle (125W average) for several minutes and 113W in-burst power at 75% duty cycle (85W average) for 143 hrs.

Yusuke Teramoto, Ushio Inc.

For Laser-driven source, highest brightness of 100 W/mm2/sr was obtained at 20 kHz (laser power 160 W). Brightness efficiency was improved by a factor of 1.6 by introducing a short-pulse, high-intensity laser. 50 W/mm2/sr was obtained at 15 kHz (laser power 50 W).

Mikhail Krivokorytov, RnD-ISAN/EUV Labs and ISAN

New design for LPP metrology sources. With ytterbium pulsed fiber laser, IPG Photonics, YLPP-1-150V-30 and target of Sn/In alloy resulted in 30 W average power, brightness of 50 W/ mm2 sr, 0.5 % CE and no debris.

Stephen F. Horne, Energetiq Technology, Inc.

The cause of the instability in sources has been identified as potentially due to unipolar arc. The results imply that we should investigate whether there is some simple mixture of Xe/He that we could provide to customers, if they wish to improve the pulse-to-pulse stability of their EQ-10 beyond its current performance.

Hiroshi Kawata, KEK

Present planning is for a full version to demonstrate FEL power and performance of the SRF accelerator. It is possible to obtain 6.6 to 1 nm wavelength from EUV-FEL by just increasing the accelerator energy to ~1131 MeV from 800 MeV. It is necessary to examine whether the acceptance of the wavelength on the multilayer reflectivity curve at the 6.X nm node is wide enough to accept the whole FEL light (Dl/l = 6 x 10-3).

Jom Luiten, Eindhoven University of Technology

Proposed laser-cooled electron source for Inverse Compton Scattering based EUV generation. Coherent amplification is achieved by micro-bunching, resulting in a source which is narrowband and can be easily tunable over the entire EUV range, with full spatial and temporal coherence down to H2O window.

Alexander Molodozhentsev, ELI-BL

Femtosecond laser-driven free-electron laser opens the way to the fifth generation of FEL, which is under development in ELI-beamlines in collaboration with the University of Hamburg and DESY (CFEL). We are developing a tunable tool for applications and are eager to hear from the EUV community in order to play with the right knobs to develop a tailored FEL for lithography applications.

Oscar Versolato, ARCNL

Update on fundamental study of LPP via study of transitions and charge state, with a goal to increase CE for Sn LPP.

Ronnie Hoekstra, ARCNL

Overview of tin ion interactions program. Charge exchange in H2buffer gas determines ionic charge state distributions.

R Schupp, ARCNL

For short-pulses and small droplets, radiative efficiency is well described by simple geometric plasma expansion mode. State of the art opacity calculations presented. Emission spectra from Nd:YAG LPPs well approximated by calculations for single density, single temperature plasma.

Dunne, University College Dublin

To get below 3nm, there are two options: Δn = 0 (4-4 & 5-5) transitions in high-Z or Δn = 1 (3-4) transitions in medium-Z. Results of strontium EUV spectroscopy and influence of pulse shape and energy on plasma properties were presented.

Dmitry Astakhov, RnD- ISAN

We have developed 3D transient model that couples energy and momentum input from tin plasma to the flow in the EUV source chamber. The model can be used to optimize the chamber geometry, flow structure, etc., for regime during source operation.

The 2019 Source Workshop will be organized in cooperation with ARCNL in Amsterdam. For proceedings of the 2018 Source Workshop and other workshop information, please visit www.euvlitho.com.