EUVL Focus

Monthly Archives: July 2016

Update from EUVL Workshop in Berkeley

By Vivek Bakshi, EUV Litho, Inc.

The 2016 EUVL Workshop was held last month at LBL in Berkeley, where we heard the latest news on EUV Lithography R&D development topics. The keynote talks were given Harry Levinson (GlobalFoundries), Britt Turkot (Intel) and Igor Fomenkov (Cymer/ASML). There were progress reports on the current technical areas of focus that I will talk about below. However, I would like to point out first that since the Workshop ended, both TSMC and Samsung have announced plans to use EUV Lithography in production at the 7 to 5 nm node. Both expect to receive the NXE3400 production-level EUVL scanner during the first half of next year, which they will adapt for 7 nm node products. This speaks for itself in terms of EUVL readiness for production.

EUV source power continues to make progress, with meaningful demonstration of >200 W by both Cymer (an ASML company) and Gigaphoton. Both suppliers now think that 500 W EUV power is feasible. Not long ago, sources appeared to be the main obstacle to the introduction of EUVL into commercial production. However, presenters from Cymer (Igor Fomenkov) and Gigaphoton (Hakaru Mizoguchi) convinced me that 250 W (and hopefully 500 W) are achievable. For this reason, both Igor and Mizoguchi-san deserve to be called the “Saviors of EUVL.” Of course, they each represent a large group of multi-disciplinary teams, who have achieved a goal that many thought impossible. True, sources still need to meet operational cost and uptime goals in order to satisfy manufacturing requirements. However, steady progress is being made on this front, as pointed out by Intel in their keynote talk. I also expect 200+ W to be achieved in fabs sometime in 2017.

Although chip makers have figured out how to live with mask defects for now via defect avoidance and repairs, mask defect reduction is certainly on the wish list. Patterned mask defect inspection (PMI) is being done in different ways, with wafer inspection being one of them. Alternate PMI techniques were discussed in the presentations as well during the Workshop. Lack of a specific PMI tool remains a key issue for cost-effective, EUVL based manufacturing. I believe that lack of commercial metrology EUV sources that meet brightness requirements to support PMI and other actinic inspection tools remains a big gap, but no one seems to be coming forward and addressing this deficiency. We know we need it, so why not work on it? It is going to get more expensive without a PMI tool and other inspection tools with low throughput in the absence of a bright EUV metrology source.

Pellicles to protect masks can now withstand 125 W of thermal load, with 250 W as the present goal. I see progress, but we are not at 250 W yet.

The Industry is finally realizing that in order to make substantial progress in developing EUV resists, we need to get back to basics and better understand how they work. As EUV resists operate differently than 193 nm resists (via secondary electrons), there’s a lot that we still need to understand. There was a good set of papers on this topic, led by Frank Ogletree of LBL and others. I certainly hope that we will see lots of industry support for his work, as the return on investment on such basic research is sure to be huge.

The Workshop was moved to CXRO, LBL in Berkeley, CA this year. This year’s Workshop, the ninth to date, was the best- attended yet and offered the most papers ever. Participants found the new location very convenient with regard to travel logistics and access to area colleagues working on EUVL. CXRO continues to be a leader in EUVL R&D and has recently announced a new consortium, EUREKA, that will support continued EUVL development.

The Workshop proceedings can be downloaded at www.euvlitho.com.