EUVL Focus

Monthly Archives: July 2014

New Benchmark Established for EUV

I received this news from Dan Corliss of IBM today and it is reproduced below. Dan is the EUV Development Program Manager for IBM. As the previous goal for ASML scanner for 2014 was 500 wafers a day, this is definitely big news. Dan called it a “watershed moment” in his LinkedIn post. Of course, we need to see this type of performance to happen longer term like weekly basis, and it needs to be repeated by several leading edge chip makers but this is a sign of good things to come. Congratulations to Dan and his team, ASML and Cymer for significant achievement. We needed this and it looks like this EUVL is finally getting ready for production!

IBM’s NXE3300B scanner, at the EUV Center of Excellence in Albany, recently completed a “40W” EUV light source upgrade.  The upgrade resulted in better than projected performance with 44W of EUV light being measured at intermediate focus and confirmed in resist at the wafer level.  In the first 24 hours of operation after the upgrade  637 wafer exposures were completed in normal production lot mode with:

– 20 mJ dose

– 83 image fields/wafer (full wafer coverage, including partial die)

– conventional illumination

This is a watershed moment for EUV as it establishes the benchmark capability of the EUV source and scanner to support semiconductor technology node development.

EUVL pic

2014 EUVL Workshop: Highlights and Summary

By Vivek Bakshi, EUV Litho, Inc.

Keynote talks

The 2014 EUVL Workshop was held late last month amid some positive highlights and lots of R&D updates. The keynote talks this year were from Intel, Gigaphoton and Toshiba.

Intel in their keynote, paraphrasing Mark Twain and Mark Bohr, said that “rumors of scaling’s death are greatly exaggerated!” I tend to agree. In terms of choices for upcoming technology nodes, Intel is doing 14nm with 193nm lithography, and for 10nm, there is an EUV pilot line in addition to the primary approach of 193i extension. Overall, Intel will insert EUV when production tools are available and affordable, which depends mostly on EUV source readiness.

In the next keynote, Gigaphoton shared their latest results of 62 W at intermediate focus (the location where power is measured for forecasting the productivity of an EUVL scanner). This was achieved via 3.9% conversion efficiency (CE) at 50 K Hz for a low duty cycle of 5%. Mizoguchi-san from Gigaphoton expected that in coming weeks via doubling the frequency, he might be able to double the source power to >100 W. After the workshop, Gigaphoton put out a press release on July 1, reporting 92 W source power with 4.3% CE. We look forward to an increased duty cycle, an increase in operation frequency to 100 K HZ, and shipment and performance at a customer site, which they said was planned for 2015.

Toshiba’s speaker, Uchiyama-san, in his keynote talk outlined interesting solutions for the extension of EUV. A high NA option, in addition to double patterning, has been outlined by the industry to allow EUVL to continue to shrink patterning beyond 7 nm. However, the high NA scanner option has been debated without a decision due to consequences for mask size and throughput. Uchiyama-san of Toshiba pointed out an option of etched mask for high NA, which will allow the use of the current mask size and throughput, hence bypassing difficult choices. To support his proposal, he showed results for etched multi-layer masks.

Highlights

Cymer in their invited talk noted that NXE3100 (previous versions of ASML EUVL scanners) sources now have >70% availability with 70G pulses average lifetime, while >100G pulses are needed for HVM. Their sources for NXE3300B are now in the field and are being integrated. These sources in lab use demonstrated ~ 40 W with 2.5 % CE, 35% dose margin and a collector lifetime for >5 G pulses.  For standalone next version of lab sources, they are now at 75 W in open loop power and 70 W in stabilized mode.

Toshiba proposed free-electron laser (FEL) as a candidate for > 250 W EUV sources. They had feedback from FEL experts that FEL sources can be made even cheaper than LPP based sources. I expect to hear more details from Toshiba and other FEL experts on their proposed designs for FEL for 13.5 nm as well as the details on the cost of ownership.

R&D Progress Notes

The Workshop, with its focus on R&D topics, had quite a few good papers with encouraging reports of progress.

  • HiLASE is developing Nd: YAG lasers for pre-pulse technology to support HVM EUV sources. One of their project goal is to have lasers with 3.3 mJ pulses operating at 150 K Hz with 500 W average power and <10 ps pulse width. After starting with their project over a year ago, they now have lasers with 0.8 mJ pulse energy,  average power of 85 W 100 K Hz, with pulse width of <2 ps.
  • Efficient CO2 lasers are important for power  scaling and Koji Yasui of Mitsubishi Electric Corporation described their transverse gas flow CO2 lasers that they are developing to support Gigaphoton’ s EUV sources. These lasers have higher amplifier gain (meaning higher power), lower gas flow speed and short length to achieve stable operation (resulting in a smaller foot print), as compared to axial flow CO2 lasers. Their lasers provide 1.6 x times more power than axial-flow CO2 for the same input of 400 kW. Currently they have an output power of 21 kW (33% duty cycle); four amplifiers driven by two-line oscillator give an output pulse of 23 ns.
  • Power scaling of HVM sources also results in more tin debris, and in-situ cleaning is one of the many methods to remove the residual tin from collector surfaces. David N. Ruzic of UIUC showed his plasma based cleaning method that results in a very small reflectivity loss (1.2%) when cap layers of collector mirrors are exposed to plasma cleaning.
  • Speakers from Korea, China, Taiwan, Europe, Japan and USA presented an overview of EUVL related regional activities in their respected regions, indicating an impressive set of investments, but also outlined lack of funding for research on EUV sources.
  • Yanqiu Li and Zhen Cao of Beijing Institute of Technology presented their design of EUV objective with a co-axial objective systems of 6 mirrors (NA 0.5), 8 mirrors (NA 0.4) and 10 mirrors (NA 0.75), and an off-axis objective system of 6 mirrors (NA 0.4). They also presented a design of an EUV scanner illuminator system with illumination uniformity better than 2.5%.
  • Hiroo Kinoshita of University of Hyogo presented data on the performance of his new reflectometer. This is the largest reflectometer in the world and can measure up to 800 mm optics.
  • Yuriy Platonov of Rigaku Innovative Technologies presented data on the performance of his In-line Gen 2 system multi-layer deposition system. The system can now make depositions of up to 750 mm optics and is capable of velocity profiling for illuminator optics. This deposition system has a high throughput to support high volume production. He also has shared performance information on his new EUVL optics refurbishment facility, which can perform etch and clean operations.
  • Actinic inspection will be needed for EUVL HVM and new techniques and instrumentation are being developed. Kuen-Yu Tsai of  National Taiwan University presented a non-imaging defect inspection method with non-imaging optics hardware. His actinic inspection method estimates the size of defect features from scattering signal.
  • Rupert Perera of EUV Tech presented data on his 4th generation Reflectometer. This new version of the tool can measure reflectivity from a 5-10 degree angle with a spot size of 1.8 mm x 1.8 mm2 and 3 sigma of 0.3 %.
  • Jung Sik Kim of Hanyang University presented his design of thin attenuated phase shift mask (PSM) that helps reduce effect of photon shot noise. The modeling suggests that proposed mask design will result in improvements in image contrast, image log slope (ILS), CD uniformity (CDU), contact edge roughness (CER) and dose to size.
  • Sushil Padiyar of Applied Materials outlined progress in EUV mask clean and etch. Using wet and dry cleaning methods, he estimated that there was only 0.018% reflectivity loss per clean and for 50 cleans, measured 0.02 nm increase in Ru surface roughness. These measurements were done for Ru Cap mask blanks. For pattered masks, there was <0.05 nm clean CD loss per cleans. For EUV patterned mask etch, his company has demonstrated <2 nm 3 sigma EUV mask etch CDU and considers their tool to be ready for EUV HVM.
  • Hiroo Kinoshita, University of Hyogo, presented the latest results from his Coherent EUV Scattering Microscope (CSM). Now phase defects of 25.5 nm width with 1.4 nm height can be detected by his tool.
  • Takahiro Kozawa of Osaka University, describing studies of Stochastic Effects in Chemically Amplified Resists, gave a summary of design of materials for 16 and 11 nm nodes. He also identified the parameters that are needed for characterization of the potential materials for EUV resists.
  • Patrick Naulleau of LBNL, in his paper on impact of EUV mask roughness on inspection, noted that the roughness has significant impact on inspection and the scatterometry measures true EUV roughness. He also believes that actinic characterization is likely required for EUVL in HVM and that the system modeling points to EUV roughness requirements close to 50 pm.
  • Yoshi Hishiro of JSR Micro described his projects for the development of novel EUV resist materials for the reduction of EUV resist defects. He pointed out that High Tg resin improves resolution and LWR, and that the profile control is important for resolution. He presented results showing that defectivity improvement is possible by controlling resist hydrophobicity.
  • The role of secondary electrons in EUV resists was presented by Greg Denbeaux of University of Albany. EUV resist exposures are fundamentally secondary electron chemistry and not photon chemistry. He measured PAG decomposition reactions per incident electron. His preliminary calibration of 2.3 PAG reactions per incident 80 eV electron is in reasonable agreement with previous measurements for this material.
  • Charlie Tarrio of the National Institute of Standards and Technology (NIST) described round robin tests organized to ensure that all worldwide sites testing for resist outgassing provide consistent results. Initially there were four orders of magnitude difference in measurements at four sites for four resists. Potential reasons were chamber geometry, ambiguity in interpreting thickness profile and dose to clear measurements, and the temperature variation in labs. However, when fully analyzed, the data from round robin agrees well.

Panel Discussion and Workshop Survey

At the end of the workshop, we conducted a survey to find what participants had learned, and gathered their opinions about the latest status and challenges.

In response to “When do you expect to see the insertion of EUVL in HVM?” most people listed 2017 as the likely insertion date.

Most people believed Source to be the #1 issue (~60%) followed by Mask Defectivity (25%) and Resists (15%).

In response to the question, “What projects can be conducted at universities, national labs and at consortia level in the pre-competitive arena to help improve readiness of EUVL?” most believed mask related projects are the most feasible, followed by source, resist, modeling, lasers and optics. The irony is that there are hardly any source related projects in progress in these places, despite it being the greatest challenge.

Participants found that the #1 benefit from the workshop was that they were able to find out the latest status of EUVL technologies because the key players in the EUVL field were on the agenda. Participants were happy with the topics covered in the workshop and listed FEL and etched mask for high NA as topics they found new and interesting.

In the panel discussion, a couple of interesting points emerged. The first was that at 7 and 5 nm nodes, EUVL single exposure option is expected to run out of steam and at that point high the NA option is still drawing considerable interest in addition to double patterning.  Toshiba’s high NA mask etch proposal looks interesting, as it will allow us to potentially bypass difficult decisions about mask size and throughput. I had a discussion with Prof. Oh of Hanyang University during the poster session; he said his simulations show that one can even stand to gain few percentages of mask reflectivity. The second point was that with high NA option will require more power, and it is wise to consider options other than current LPP sources. Toshiba proposed consideration of FEL as an alternate technology for >250 W EUV sources.

Summary

In the workshop, we saw results on the continued progress of Gigaphoton’s and Cymer’s sources in labs. Cymer’s 40 W sources are now deployed in field. Gigaphoton is expecting to deliver their sources in 2015. I believe that this work is continuing to increase the source power available to EUVL scanners in field to the specified levels. ASML’ s press release last week on Q2 2014  performance results reiterated their goal of 500 wafers per day productivity by the end of this year, which corresponds to ~ 20 wafers per hour or ~ 20 W of source power. This is a fairly modest goal in my opinion, and based on source performance data from their labs that I have seen, I expect it to be achieved.

FEL is proposed as the new technology for sources going beyond 250 W, and it is time to start a good discussion on various design options and cost of ownership for this technology.  I hope to achieve this objective in the upcoming 2014 Source Workshop in Dublin (November 3-6, 2014). (www.euvlitho.com)

The lack of standalone commercial actinic inspection tools for patterned mask (mostly due to the lack of commercial metrology sources) is encouraging researchers to develop alternate methods and tools that can provide interim solutions for defect inspection, as we saw from Hyogo University and National Taiwan University. Actinic inspection will be needed and we need to see efforts to support development of metrology sources for actinic inspection, in order to enable tools for HVM.

Toshiba had some new solutions in their keynote presentation. The solution of etched high NA mask caught my attention – maybe this will allow us to keep 6” masks without sacrificing the throughput. FEL for the first time was mentioned as an option for HVM by a chip maker and now details of various designs need to be discussed so that cost of ownership can be assessed.

Overall, it was a good workshop with good discussions that provided a positive outlook on continued development efforts to get the tools ready for HVM.