EUVL Focus



EUVL Technology Status Update

By Vivek Bakshi, EUV Litho, Inc.

This blog gives the latest update on the status of EUVL, based on data released this summer from the 2017 EUVL Workshop, 2017 Semicon West and recent announcements. This update is in the format that I previously introduced to simplify the vast amount of information from the 2017 SPIE AL EUVL Conference. It includes a short summary of EUVL Status, a list of notable updates since the 2017 SPIE AL meeting, and additions to the current list of EUVL Challenges at various nodes (complete list previously published on this site (List of challenges at 7, 5 and 3nm nodes). Another blog, “New Frontiers for EUVL – Sources and Metrology” will be published tomorrow on this site. 

  1. Current EUVL Status

 

Source: 250 W standalone source power has now been demonstrated at ASML (210 W in Q1 2017). Current power of integrated sources is at 148 W, corresponding to 104 wafers per hour (WPH) scanner throughput in-house at ASML. Stable 130 W power in scanner has been noted in field. In ASML lab, EUV source power is 375 W, in burst mode at 50 kHz. 200 W of stable power may be available in field in 2017 or early 2018. Source power is now meeting requirements for the introduction of NXE3400. Current source availability is at 75%, while high volume manufacturing (HVM) requirements are >90%. Lifetime of droplet generators and collectors are improving, but need further improvement to meet HVM requirements. Collector lifetime in 2016 was 1.5x better than the previous year. Reflectivity drop for collector is now 0.4% per gigapulse. Encouraging progress by Gigaphoton, a second supplier of high power HVM EUV sources. Options for EUV Sources beyond 500 W are under study. 

Scanners: Fourteen EUVL scanners are now in field. Four scanners were shipped in 2016. Specs are 0.3 nm critical dimension uniformity (CDU) and 1.8 nm overlay. For 148 W, scanners demonstrate 104 wafers per hour (WPH) throughput, with an increase of 8 wafers WPH achieved via increase of stage speed at the same source power. NXE 3300 scanner availability at >75%. The top contributor to scanner tool downtime is the exposure source.

Higher numerical aperture (NA) EUVL scanner design is now ready, with anamorphic optics (4x/8x magnification) for EUVL extension. Higher NA scanners will have smaller depth of focus (DOF) (1/3 of 0.33 NA tools), print half field – so will require stitching. Scanners will have requirements for a larger cleanroom. For defect inspection for mask for these tools, a higher resolution for actinic inspection for defect review (AIMS), mask blank inspection (MBI) and patterned mask inspection (PMI) tools will be needed.

Masks: Mask blank defects are acceptable for now via defect avoidance and repair. Mask defects > 60 nm are zero. Total defects >23 nm spherical equivalent volume diameter (SEVD) in low single digits, with actual number depending on mask pattern density. Compensation for 3D mask effects in the near term will be done using source mask optimizing (SMO) and in the longer term by using new materials for mask stacks.

Mask Pellicles: Mask defect addition during manufacturing is still a concern for chip makers. The unpredictability of adder events drives the need for pellicles. Pellicles can now withstand 140 W of source power and plans for cooling hardware are in place for a 205 W upgrade. Pellicles need to be ready for 250 W by 2H 2017. Current single pass transmission is 85%. Current fixed pellicle design needs to evolve to provide future solutions. New carbon nanotube based pellicles have been proposed from IMEC. Intel tested pellicles for >4 K wafers at 140 W with no added defects. This is a current topic of focus for readiness for HVM. 

Mask Defect Inspection: Need for AIMS, mask blank inspection (MBI) and PMI remains. Defect review is being addressed. Samsung has made its own AIMS tool for defect review and plans to use it for HVM. Tool is using a high harmonic generation (HHG) based EUV source and a scanning zone plate. Zeiss is now shipping its first AIMS tool. Actinic patterned mask inspection (APMI) tool is still missing, while MBI tools are ready for current needs. Mask defect inspection is being done via wafer inspection for now, but at a cost and with lower yield. APMI is the only red flag item for 7 nm insertion of EUVL. We need APMI for pelliclized masks.

Resist: Adequate for 7 nm node but better local CD uniformity (LCDU) required for future nodes. To address stochastics, we need increased EUV dose and increased EUV absorption of resists. EUV resists with smaller reactive volume, more uniform distribution of components, fewer components and higher dissolution contrast are needed. Lots of talk about stochastics, but I believe it will be addressed and it is not a showstopper, although it will need a good bit of work. It is important to note that resist image is only an intermediate step, and there are still several knobs available to improve the image and performance of the final circuit – which is what matters.

Need to understand the interaction of EUV radiation with resist and design resist materials for addressing stochastics. Need to address new challenge of micro-bridging (also called nano-bridging). Its relationship to dose, type of resist and linewidth roughness (LWR) is not clear. Optical proximity correction (OPC) and Litho-etch optimization may help reduce this effect.

Continued work on chemically amplified resists (CAR), metal based inorganic resists and molecular resists to support 7 nm and beyond. Out of band (OOB) filter is now in scanner that also acts to keep out resist outgassing products.

 

  1. Notable Updates (Since 2017 SPIE AL Meeting)

 

Scanner and imaging

  • >1 M wafers exposed on NXE 33x0B in fabs.
  • Meeting 5 nm logic requirements with 0.3 nm CD uniformity (CDU) (13 nm L/S) with LWR at 3.8 nm (34 mJ) and 3.2 (58 mJ).
  • Now imaging 20 nm contact holes (CH) with CDU of 1.2 nm.
  • Demonstrating clear benefit in terms of illumination for NXE3400 over NXE3300.
  • NXT to NXT matched overlay is now 1.8 nm.
  • Throughput of 104 WPH for 148 W source for NXE:3400B (at ASML – Q1 2017)
  • Faster wafer swap, transmission improvement and source power increase will enable 125 WPH.
  • Zero adders during scanner operation, with light on, for 2400 wafer exposure demonstrated.
  • Larger NA (0.55) scanner will result in reduces dose requirements and higher effective throughput (as fewer LE steps will be required).
  • High NA will also help mitigate LCDU.
  • Infrastructure already in construction at ASML and Zeiss for high NA EUVL scanners.

 

Source

  • 250 W demonstrated at ASML.
  • CE is now at 5.7% at ASML.
  • >700 hour droplet generator lifetime
  • In burst power of 375 W, in lab
  • Designs now available for 1 kW EUV source based on FEL emission in compact storage ring, and these designs need to be evaluated.
  • Discussions continue for which technology will support 1 kW EUV sources: plasma or free electron laser (FEL)/accelerator based approaches. Work is continuing in Japan on FEL based sources for EUVL.

 

Pellicles

  • Pellicle films produced without defects that print on wafers.
  • Pellicles for NXE:3400B can withstand 140 W. Y-nozzle cooling is expected to extend this to 205 W.

Resist

  • Continued work on fundamentals and evaluating performance of new resists. Metal oxide (MOx) resists provide opportunity to reduce LWR via etch and enable co-integration with newer integration schemes.

 

Mask

  • 100x reduction in overspray reported by Veeco, resulting in reduced mask blank defect density
  • Ion beam target overspray, target nodule formation, and particle entrainment in the ion beam, are potential ultimate limitations to particle reduction in ion beam deposition (IBD).
  • Veeco proposed Biased Target IBD and Target Confined Plasma as alternate deposition technology to IBD in long term.

 

  1. New Additions to existing list of challenges for EUVL (Since 2017 SPIE AL meeting, please see previous blogs (Challenges at various future nodes and Update from 2017 SPIE AL)for a complete listing) and for detailed technical information review Proceedings of 2017 EUVL Workshop or Summary of 2017 EUVL Workshop.

 

7 nm

Nothing new 

5 nm

Need for 350 W pellicles

3 nm

Need for 500 W pellicles

2 nm

1 kW power may be needed. How far can we stretch the LPP technology (laser power, droplet generator, contamination)? What are the challenges for FEL?

What will be the pellicle requirements?

New cap layers for Mask and optics

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

2 thoughts on “EUVL Technology Status Update

  1. Dr. Hans W.P. Koops

    Dear EUVL community,
    As a solution to the EUV-power needs of 1 kW I filed in 2015 a patent for an EUVL source.
    It uses nanogranular material (Koops-GranMat(R) with a current carrying capability of 1 GW/cm² for field emitters, delivering 1 mA per tip ( Pt/C | 1 mA at 75 V). Employing an array of 33 x 33 tips , which can be fabricated in an array of 20 micro meter square and using an anode voltage of 1 kV the required power density can be achieved. The cathode and anode material cannot get hot for crystal size reasons and stays at 23 K even at room temperature. Using an anode from a proper nanogranular material with an adequate X-Ray emission line 1 kW X-ray power is possible, or even more at the EUV wavelength.
    I am free to consult to help your company to achieve this advantage.
    Patent: Miniaturized X-Ray Tube with Cathode and Anode built with Koops-GranMat(R) and with a Retarder Stage, 09. 02. 2016 Koops, Hans W.P. ,64372 Ober-Ramstadt. DE PMA patent number 102015 001 440.4.
    You may call 0049 6154 2733.
    Best regards
    Hans

    Reply

Leave a Reply

Your email address will not be published. Required fields are marked *