Category Archives: Top Story Right

July 6, 2012 — We at Solid State Technology have compiled the best conference reports so far this year, in the lead up to SEMICON West 2012, next week in San Francisco. Be sure to bookmark our SEMICON West 2012 Channel for all the info from that show.

Focus on lithography

@ EUVL workshop: Focus on source power, timing

Dr. Vivek Bakshi, president of EUV Litho Inc., reports on the 2012 EUVL Workshop (June 4-8 in Maui, HI), where attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into HVM.

@ SPIE: The spring of EUVL

Dr. Vivek Bakshi, president of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference. He says that this year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15.

@ SPIE: Intel’s, TSMC’s tool roadmap takeaways

After attending SPIE Advanced Lithography, Barclays Capital came away with a lower lithography tool shipments forecast, more hope for EUV lithography, and expectations of a litho buying spree at Intel.

@ SPIE: eBeam Initiative roadmap

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

 

Focus on yields/productivity

@ ISMI Manufacturing Week: Productivity challenges identified

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

@ The ConFab: Legacy semiconductor fab issues

Bill Ross of ISMI and Joanne Itow of Semico report on the ConFab 2012 Executive Roundtable. Older production facilities face equipment obsolescence; skills obsolescence; scarce availability of parts, software, and support; and equipment capability extension and tool re-use.

@ Lightfair: MOCVD capex disobeys fab utilization rules

Barclays Capital analysts attended Lightfair International and gleaned several trends in LEDs and OLEDs for lighting, including an interesting phenomenon around MOCVD utilization rates and new orders.

@ ISS 2012: Profitability threatened

Industry leaders at the 35th annual SEMI Industry Strategy Symposium (ISS) described a perfect storm of cost, complexity and uncertainty as the industry struggles with process engineering complexity at sub-28nm nodes, hazy EUV installation schedules, 3D-IC challenges, and planning for a 450mm wafer transition, reports SEMI.

 

Focus on packaging

@ IMAPS Device Packaging: Vias and more vias

IMAPS Device Packaging’s papers were recently released, and blogger Dr. Phil Garrou shares highlights from SSEC, Asahi Glass, Hitachi Chemical, and others. He also takes a look at Fujitsu’s low temp Cu-Cu bonding technology.

@ The ConFab: A 2.5D/3D interconnect supply chain or ecosystem?

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of front-end fab at the foundry and back-end fab at the packaging and test house is falling apart. Amkor, GLOBALFOUNDRIES, ASE, and Xilinx’s presenters offer ideas.

@ IITC: From TSV to back-end memory work

The 15th IITC took place in San Jose, CA. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back-end memory, blogger Michael Fury reports.

@ ECTC: 3D integration and TSVs

A main focus of this year’s Electronic Components and Technology Conference (ECTC), held in San Diego, was 3D integration and TSVs, blogs Pete Singer, editor-in-chief.

 

Focus on emerging technologies

@ MEMS Executive Congress Europe: MEMS everywhere

Karen Lightman, the Managing Director of the MEMS Industry Group, blogs from the MEMS Executive Congress Europe in Zurich, Switzerland.

@ MRS Spring: Organic electronics

Fury reports from the MRS Spring 2012 meeting in San Francisco. Highlights: electronic skin, energy storage with nanowires, printable inks, gas sensing, inkjet printing, semiconductor polymers for organic devices, CNTs, OFETs, touch screen fabrics, and the coffee breaks.

@ SensorsCon: MEMS, networks, and camera pills

SensorsCon 2012 was held March 21 at the Santa Clara TechMart Center, in conjunction with the annual meeting of the ISQED. This is the first such meeting focusing on sensor technology, with about 60 attendees. As a design conference, the focus was more on system design and architecture, reports Fury.

@ Lightfair China: Low LED prices, subsidy’s role, and MOCVD update

Guangzhou (China) Lightfair Conference is the biggest lighting fair in Asia. Citi analyst Timothy Arcuri notes trends in LED manufacturing and pricing ahead of China’s subsidy program going into effect.

July 5, 2012 — Indium tin oxide (ITO) is the basis of more than 90% of transparent conductive films (TCFs), used in displays, flexible photovoltaics, and other devices, reports Cathleen Thiele, technology analyst, IDTechEx. With indium prices rising, and technical challenges related to indium’s brittle nature, manufacturers are looking to new technologies, such as finely printed conductive meshes, layers of silver or copper that are highly transparent, organic transparent conductors and variations such as carbon nanotubes and graphene.

The TCF market is valued at more than $2 billion in 2012. ITO is an entrenched technology for displays manufacturing, said Thiele.

Figure. The commonly used options (excluding uses of transparent conductors that are not in displays, photovoltaics or touchscreens) with their market share. 

Transparent conductor Main uses 2012 value ($M) 2012 market share (%)
Indium tin oxide (ITO Displays (LCD, e-paper, OLED)
Photovoltaics
Capacitive touchscreens
1527 93
Other metal oxides (FTO, AZO) Photovoltaics (CdTe, a-Si, Silicon PV) 106 6
Transparent organic conductors (PEDOT:PSS), CNTs, graphene Flexible photovoltaics, bi-stable displays 0.6 <0.1
Metals, composites (Metal grids or nanowires) Flexible devices 1.4 0.9

Source: IDTechEx http://www.IDTechEx.com/TCF 

Transparent conductors based on nanosilver and PEDOT:PSS are getting a lot of interest, in addition to carbon nanotubes and graphene. There are also hybrid approaches – using nanosilver to print fine lines, filled with a transparent conductor such as PEDOT:PSS.

Most of the focus is on use as a transparent conductor for display, solar and touchscreen applications – representing the biggest need and opportunity.

In comparison to other types of transparent conductors ITO has a very competitive conductivity-transparency-ratio. However, an issue not only for ITO, but also many other types of TCF, is that they are not particularly flexible. Sputtered ITO and other TCO layers on plastic films are known to be brittle, and they crack upon a few percent strain.

As more and more flexible devices are required, the market for transparent conductive films increases. The trade-off between conductivity, transmittance, and flexibility is best met with materials other than the traditional conductive oxides, which are expected to get more expensive as in the case of ITO, and many companies and research institutes work on alternate technologies.

Flexible E-readers and touchscreens

The recent developments in the e-Reader market are a good example of the interest to move to flexible displays: While the first devices where rigid and based on glass, new versions are intended to eventually be somewhat flexible, though a rollable device is still a dream.

In addition, some are targeting to replace ITO in applications where high conductivity is not needed – e-readers are an excellent example of that.

Eastman Kodak sees the opportunity for their PEDOT formulation in applications where customers seek cheaper alternatives than ITO and where a more resistive film is acceptable. Together with Heraeus they presented a milestone at the Printed Electronics USA 2011 Show – a polymer-based 14" touch screen panel featuring completely invisible conductive patterns. Fabricated by GSI Technologies the panel features Kodak HCF-225 Film/ESTAR™ Base and transparent Clevios™ PEDOT:PSS coating with a surface resistivity of 225 ohms/sq.

Flexible photovoltaics

The same is true for photovoltaics; thin-film solar cells based on compound semiconductors or amorphous silicon (a-Si) are manufactured in industrial scales on glass, but flexible versions on plastic substrates are available and will open new applications and markets.

Moreover, the PV market seeks very large areas of transparent conductive material and therefore must reduce cost as much as possible to maintain competitive cost/watt pricing. Therefore some in the PV market have moved away from ITO already: a-Si manufacturers for example use ITO, FTO and AZO, First Solar (CdTe solar cells) is using FTO and most CIGS PV manufacturers use AZO.

This report focuses on the requirements and achievements to date on the topic of transparent conductors, where high transparency and high conductivity are required, particularly flexible versions. Worldwide research and design efforts are presented, both from research institutes and companies that are developing the necessary materials and processes – in total 53 organizations are profiled. Several technical solutions available are compared, and forecasts are given for the next 10 years, based on assessing the need from different applications.

To learn more about the topic please read Transparent Conductive Films 2012-2022 

 Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 4, 2012 — Worldwide sales of semiconductors reached $24.4 billion for the month of May 2012, a 1.4% increase over April’s sales of $24.1 billion, reports the Semiconductor Industry Association (SIA).

Figure. Worldwide Semiconductor Revenues. SOURCE: WSTS and SIA.

Combined global sales for the March-April-May period increased over December-January-February by the highest rate (6.4%) since June 2010. Additionally, May marked the third consecutive month that sales have grown over the previous month — the longest streak of sequential monthly growth since September 2010. However, sales from May 2012 were 3.4% lower than the May 2011 total of $25.2 billion, and 2012 year-to-date sales were lower across all regions than at this time last year. All monthly sales numbers represent a three-month moving average.

Tables. May 2012 Semiconductor Sales

 

Month-to-Month Sales ($B)

Market

Last Month

Current Month

% Change

Americas

4.56

4.48

-1.8%

Europe

2.82

2.84

0.8%

Japan

3.38

3.35

-0.6%

Asia Pacific

13.31

13.71

3.1%

Total

24.07

24.39

1.4%

Year-to-Year Sales ($B)

Market

Last Year

Current Month

% Change

Americas

4.63

4.48

-3.2%

Europe

3.29

2.84

-13.6%

Japan

3.34

3.35

0.4%

Asia Pacific

13.98

13.71

-1.9%

Total

25.24

24.39

-3.4%

Three-Month-Moving Average Sales ($B)

Market

Dec/Jan/Feb

Mar/Apr/May

% Change

Americas

4.41

4.48

1.6%

Europe

2.72

2.84

4.4%

Japan

3.38

3.35

-0.7%

Asia Pacific

12.41

13.71

10.5%

Total

22.92

24.39

6.4%

“The upward trend of global semiconductor sales is encouraging,” said Brian Toohey, president & CEO, Semiconductor Industry Association. “Recent sales totals are in line with industry projections of modest growth for the remainder of 2012, but a sluggish global economy continues to provide substantial headwinds, limiting more robust growth.”

Regionally, semiconductor sales increased in Asia Pacific (10.5%), Europe (4.4%) and the Americas (1.6%) over a three-month moving average, but fell slightly in Japan (-0.7%). However, Japan realized an increase in sales from May 2011 to May 2012 (0.4%), while Asia Pacific (-1.9%), the Americas (-3.2%) and Europe (-13.6%) all saw year-to-year decreases.

The Semiconductor Industry Association (SIA) represents the US semiconductor industry. Learn more at www.sia-online.org.

Visit the Semiconductors Channel of Solid State Technology!

July 3, 2012 — Technology for making electronic circuits with organic materials, non-vacuum processes, and flexible substrates has made striking progress, but it’s been a challenge to find applications where the new technologies — and the whole new ecosystems needed to integrate them into useful products — offer must-have advantages.  Flexible and large-area organic light-emitting diode (OLED) displays and lighting offer perhaps the largest tangible opportunity, and hybrid products using solution-processed layers and flexible substrates are starting to come to market.

Flexible active matrix OLED (AMOLED) displays will enter mobile phone applications by the end of 2012, and may show up in tablet applications in 2013, reports Jennifer Colegrove, NPD DisplaySearch VP of Emerging Display Technologies. OLED technology advanced rapidly in 2011, a trend that NPD DisplaySearch forecasts will continue through this decade in its recent OLED Technology Report. Progress has been made in organic materials, color patterning, electronic driving methods, and encapsulation. Enthusiasm has increased recently as Samsung Mobile Displays has started manufacturing AMOLED displays in a Gen 5.5 fab, and both Samsung and LG Display have announced plans to build Gen 8 (2200 x 2500mm) fabs, while several other suppliers entered or re-entered OLED display manufacturing, including AUO, CMI, IRICO, Tianma, and BOE. Also read: Samsung Mobile Display sources OLED materials from Novaled

These technology improvements and investments indicate that AMOLED will compete in larger-size applications, such as in TV and mobile PCs, within 2 years. Samsung released a 7.7” AMOLED tablet PC in December 2011, more tablet and other mobile PCs are expected in 2012. Both Samsung and LG are expected to bring 55” AMOLED TV to market in 2012. Also read: Sony, Panasonic combine OLED manufacturing expertise

However, the ability to scale OLED display manufacturing to fabs larger than the current Gen 5.5 has yet to be demonstrated, and the cost of larger panels remains in question. It is not clear if vacuum deposition of the organics at the larger size will be economical, or if printed layers will be practical to reduce costs. Challenges remain for printed and flexible processes, for example, organic material life time is still shorter with solution processes than with chemical vapor deposition.

“I think OLED technology has made good progress and is ready to enter large-size applications, but low-cost manufacturing for large sizes is still a challenge,” says Colegrove. She’ll discuss OLED technology trends, include printed and flexible OLEDs, as well as provide the most recent market forecast in her presentation at SEMICON West.

Panasonic uses printed hole injection layer, continuous evaporation process

On the OLED lighting side, more efficient mass production process technologies developed in part in the Japan’s NEDO research project are enabling production of OLED lighting with brightness of up to 1000 cd/m2 with efficiency of some 130 lm/W in the lab, reports Takuya Komoda, Research Director at Panasonic Corp’s Core Technologies Development Center. He will discuss this technology enabling the Panasonic-Idemitsu OLED Lighting joint venture to produce commercial 2mm thin, ~8cm2 OLED panels with integrated electronics for easy integration by lighting designers, with warm 3000K light and good color rendering (CRI>90), with 10,000 hour life (70% lumen maintenance.)

The manufacturing process is made economical by coating the initial hole injection layer with a slot die printer, and depositing the emitters with a new hot-wall continuous evaporation tool developed with Choshu Industries that increases the deposition rate to 10nm/second and significantly cuts down waste of the expensive emitter materials.

The company got best lifetimes of 150,000 hours to half decay at 1000cd/m2 and 55lm/W efficacy with a fluorescent/phosphorescent OLED system on a light outcoupling substrate.  Using all phosphorescent emitters improved efficacy to over 80 lm/W, while maintaining half decay lifetime at a still respectable 30,000 hours.  The 130 lm/W efficacy was achieved with a 1cm2 OLED fabricated on a hemispherical high-refractive lens.

IMEC uses low-temp metal-oxide TFTs for flexible OLED displays, RFID tags

Imec’s approach to making flexible AMOLED displays laminates a flexible PEN substrate to a temporary carrier, then builds a stack that includes a moisture barrier, backplane with metal-oxide TFTs fabricated at 150°C, an interlayer dielectric, a top-emitting OLED, and a thin-film top encapsulation, reports Serge Biesemans, imec VP of wafer technologies and smart systems, who plans to talk about the new materials and process technologies developed for this stack. Imec’s research program with partner TNO in the Holst centre aims at overcoming the challenges towards high-volume manufacturing of flexible active-matrix OLED displays on flexible plastic foils: high resolution, low power consumption, large area, outdoor readability, flexibility and light weight.

Imec is also making thin film transistors on flexible plastic, combining the n-type transistors of the metal oxide AM backplane with organic p-type semiconductors to make RFID circuits and display line drivers. For the RFID tag, a complementary hybrid organic-oxide technology was used, combining a 250°C solution-processed n-type metal-oxide TFT with typical charge carrier mobility of 2cm2/Vs with a pentacene p-type TFT with mobility of up to 1cm2/Vs. A high-k Al2O3 dielectric was used, which increases the transistors’ current drive.

Imec, Holst Centre and their partners in the EU FP7 project ORICLA have fabricated an RFID circuit in this low-temperature thin-film technology that allows reader-talks-first communication, by transmitting identification data when the reader transmits power to the tag. In retail applications, many tags will usually try to contact the reader at the same time when powered by the RFID reader, requiring an effective anti-collision mechanism, which is complicated and slows reading time. Reader-talks first tags could more simply be used to provide buyers with information on price, characteristics, or freshness, or to allow vendors to implement automated billing and inventory management.

Learn more about the progress of these technologies in markets that matter at the SEMICON West program on printed/flexible electronics, July 12, in San Francisco, Practical Plastic Electronics: Bringing Disruptive Flexible and Organic Materials into Volume Electronics Manufacturing.

Read Paula Doe’s other SEMICON West previews:

Guide to LED and OLED programs at SEMICON West

Guide to MEMS at SEMICON West 2012

MEMS manufacturing changes with HV consumer apps

Maturing MEMS sector looks at ways to work together

For more information on attending or exhibiting at SEMICON West 2012, please visit www.semiconwest.org.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

July 2, 2012 — Seiko Epson Corporation (TSE:6724) reached the milestone of 80 million high-temperature polysilicon (HTPS) thin film transistor (TFT) liquid crystal display (LCD) panels for 3LCD projectors, enough for more than 26.5 million projector units.

Epson develops technologies to boost power and performance of these projectors. 3LCD projectors historically are used for enterprise computing — business presentations. Today, 3LCD projectors are used in various markets — home theaters, education, commercial segment, and more. The market for projectors is expected to grow nearly 10% every year.

Epson plans to enhance and expand its lineup of HTPS display panels for specific market needs, said Nobuyuki Shimotome, deputy chief operating officer of Epson’s Visual Products Operations Division.
Epson is a global imaging and innovation company making inkjet printers and 3LCD projectors, sensors, and other microdevices. Internet: http://global.epson.com/

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

June 29, 2012 — The Integrated Dynamics Engineering (IDE) Group developed the STC series of Active Vibration Isolation Systems supporting OEMs in the production of 450mm wafer equipment.

A global metrology system OEM has placed an order for the system.

IDE’s advanced STC Active Vibration Isolation system stabilizes the high-speed stage handling 450mm wafers during metrology processes. The STC system incorporates compact steel isolators in a rigid dual-level isolation platform installed between the frame and granite, and an integrated state-of-the-art IDE controller.

The easy-to-install STC vibration isolation system uses advanced stage motion compensation technology. It significantly reduces vibration levels and settling time, to enable high resolution imaging and critical measurement while increasing throughput.

IDE provides active vibration isolation, EMI cancellation, and acoustic controls to global semiconductor manufacturing and advanced microscopy companies. The IDE Group is part of the semiconductor division of Aalberts Industries. For more information on the IDE Group, visit www.ideworld.com.

Visit the Semiconductors Channel of Solid State Technology!

June 28, 2012 — Following are some of the process control, device inspection, defect detection, and other metrology and test products that will be on display at SEMICON West 2012, July 10-12 at the Moscone Center in San Francisco, CA.

More previews:

Wafer fab and handling products

Packaging products

450mm WaferSense auto vibration system

CyberOptics Semiconductor added a 450mm form factor to its WaferSense Auto Vibration System family. Users put the wireless, wafer-like product through semiconductor fabrication processes to measure vibrations of wafer transfers in x, y and z dimensions. It can be used to observe and optimize wafer, cassette, SMIF and FOUP motions without exposing process areas to the environment. The data helps establish yield-based vibration standards for equipment, identify vibration sources, and set acceptable acceleration parameters for equipment. Companion vibration monitoring software allows engineers to set  low, high, and band pass filters of equipment vibration frequencies to troubleshoot for vibration-related contamination. The software allows engineers to collect and display acceleration data wirelessly to see the effects of adjustments in real-time. CyberOptics Semiconductor, South Hall, Booth 2406.

Low-cost cryogenic probe station

Lake Shore Cryotronics Inc. will feature its range of cryogenic and cryogenic-free probe stations. The Model TTPX cryogenic probe station is a lower-cost cryogenic micro-manipulated probe station, used for non-destructive testing of devices on full and partial wafers up to 51mm (2”) in diameter. The TTPX measures electrical, electro-optical, parametric, high Z, DC, RF, and microwave properties of materials and test devices. A wide selection of probes, cables, sample holders, and options enable customization for specific measurement applications. Other models are available to meet a variety of parameters, including magnet field, maximum number of probe arms, standard temperature range, high and low temperature options, maximum sample size, vacuum, and sample stage rotation. Lake Shore will also be highlighting the new lower-cost cryogen-free probe station, the Model CRX-6.5K. Lake Shore Cryotronics Inc., Booth 6458.

Hall effect measurement system

Lake Shore’s new 8400 Series Hall Effect Measurement System (HMS) uses AC Hall effect measurement to support researchers exploring the electronic and magneto-transport properties of low mobility electronic materials. The 8400 Series can measure Hall mobilities down to 10-3cm2/Vs, suiting solar cell, organic electronics, transparent conducting oxides, III-V and III-VI semiconductors, magnetoresistors, and other materials. Lake Shore Cryotronics Inc., Booth 6458.

X-Ray diffractometer and reflectometer

Jordan Valley’s Delta-X is a new-generation flexible X-ray diffraction instrument for materials research, process development, and quality control. Featuring fully automated source and detector optics with a horizontal sample mounting, the system can switch between standard and high resolution X-ray diffraction, and X-ray reflectivity modes under full computer/recipe control without the need to manually change configurations. Measurements can be run partially or fully automated, with user-customizable scripts handling the routine work. It is also possible to run the tool in a completely manual mode, to enable the development of new methods or to investigate new materials. Analysis of the data can be fully automated as part of the measurement routine, or analyzed off-line if required. Using RADS and REFS in automated mode, developed for semiconductor manufacturing lines, allows routine analysis to be performed and reported without any user intervention. RADS and REFS can also be installed off-line to allow more detailed analysis. It features high-precision sample positioning and scanning with 300mm Eulerian cradle and full 300mm wafer horizontal mounting and mapping. Pole figures and residual stress measurements are possible due to 100° tilt (Chi) and unlimited azimuthal rotation (Phi). Jordan Valley Semiconductors Ltd., Booth 2141.

Transmission X-ray topography system

The JV QC-TT is a dedicated quality control tool for non-destructive detection of crystalline defects in semiconductor wafers. It inspects Si, GaAs, InP, Sapphire, SiC and other substrates up to 300mm wafers. The tool supports dual resolution for fast detection and detailed examination of defects. Defects include edge defects, fault lines, slip lines, point detects, and embedded defects. Full wafer scan or selected areas scans are possible. X-ray topography can also be used to detect the first “known good wafer” in the ingot. The QC-TT eliminates the “defect-etching” process and the use of hazardous etching chemicals, with faster throughput. The JV-SIA software suite supports reporting on defects and creating defect maps and lists. Jordan Valley Semiconductors Ltd., Booth 2141.

In-line 450mm X-Ray imaging system

The JV SENSUS 450mm/300mm in-line system detects crystalline non-visual defects (NVD) such as edge defects, fault line & slip lines within substrate or product wafers using Transmission X-Ray diffraction. The product solves key issues in the use of expansive 450mm wafers in manufacturing environment, where the thermal stresses on larger wafer are much higher and the wafers are subjected to many more handling steps. Metrology can cover fast selected region or full wafer scan options. Cracks observed in the X-ray images can help determine if the wafer will break during annealing. It can also be used to search for the first “known good wafer” in the ingot. A slice is taken from the ingot and a measurement performed on the JVSenus. The absence of slip and other defects indicates the good region of ingot which can be used. The tool uses JV-SIA software. Jordan Valley Semiconductors Ltd., Booth 2141.

Super-resolution digital microscope

KEYENCE’s VHX Series Digital Microscope integrates advanced zoom optics with a CCD camera and 17” display, light source, controller, and analysis/reporting software. It offers a magnification range from 0.1x – 5000x. Many lighting techniques are also supported including bright and dark field, transmitted, polarized, and differential interference observation. A color filter wheel allows users to choose a specific wavelength (red, green or blue) of light for their samples. A Super Resolution mode combines the blue filter with KEYENCE’s pixel shift technology, capturing images with 25% better resolution. The VHX-2000 can be equipped with a motorized XY stage along with motorized Z-axis lens control. Users can adjust movement in all three axes by using an included control pad. The Image Stitching function can now be completed with just the push of a button, and at much higher speeds, to produce up to a 20,000 x 20,000 pixel image that expands the viewing area by up to 200 times. Automated measurement functions simplify measurement tasks and help to remove variation between different users. The system also has the ability to save a portion of the image/measurements as a template to be used to measure future samples. KEYENCE, Booth 6651.

3D laser scanning microscope

The new KEYENCE VK-X Series 3D Laser Scanning Microscopes combine the capabilities of SEMs and non-contact roughness gauges with the simplicity of an optical microscope. It boasts 0.5nm Z-axis resolution with a magnification range spanning 200x to 24,000x. Usability and ease-of-use have been improved with the addition of the AI-Scan function, allowing users to easily image and measure a target. A short-wavelength laser scans across a target to provide non-contact profile, roughness and thickness measurements, even on targets with highly-angular surfaces.  By combining the laser with an industry-leading, 16-bit photomultiplier, the VK-X can obtain an image and measurement on nearly any type of material, as well as thickness measurements on transparent films and coatings. In order to simplify the operation of the VK-X, the AI-Scan function was developed to automate the scanning process.  Users can simply place their sample on the stage, and by clicking a single button, the system will automatically adjust the sensitivity of the photomultiplier, set the upper and lower limits of the scan range and re-scan the target as needed to make sure all of the necessary information was captured.  By using this function, even inexperienced users can quickly and easily obtain accurate measurement data and high-resolution images. Additional features include a new WIDE-Scan function that is 8x faster than conventional laser scanning microscopes, while also improving the quality of the captured image.  A high-speed auto-focus algorithm has been incorporated into the system, and images can be captured at up to 21.6 megapixels.  All of the measurement functions from our previous VK Series product line have been extended to the VK-X, including the ability to measure the thickness or profile/roughness of transparent materials. KEYENCE, Booth 6651.

RoHS, WEEE & REACH testing & certification

Manufacturers of electrical and electronic equipment who export to the European Union must comply with the REACH (Registration, Evaluation, Authorization, and Restriction of Chemicals), WEEE (Waste of Electrical and Electronic Equipment) and RoHS (Restriction of Hazardous Substances) Directives, or risk losing access to market. TÜV Rheinland provides consulting, testing and labeling services for manufacturers and importers on all matters to do with the requirements of these directives to help companies gain European approvals. TÜV Rheinland, Booth 1825.

Top-load burn-in socket

Aries Electronics is introducing a top-load burn-in socket for new IC pin-out designs. It is delivered in 4 weeks at about $200 tooling costs, compared to other options that take up to three months and run over $30,000. Based on a modular design, the new socket can easily be configured to accommodate devices on 0.3mm-pitch and above, and can be used with BGA, µBGA, QFN, LGA and bare dies as well as a number of other devices like those used for MEMS testing with high acceleration rates. The top load socket helps reduce device damage for equipment under test (EUT) by minimizing several points of over-compression and errors in device insertion.  Devices are loaded and unloaded on the top of the socket without compressing the socket or holding it down.  When engaged, the socket also avoids over-compression with pressure pads that cover a larger surface area to distribute force on the device. A built-in hard stop also reduces insertion force on the PCB. The socket comes in a top load configuration, ready for device insertion, so no special tooling or push plates are required. The manual dual latches can be removed to allow the socket to open when the force is removed for efficient and quick testing of multiple devices. They are mounted and removed from the test board via 2 stainless steel alignment pins. The compression spring probes leave minimal witness marks on the bottom surface of the device pads for increased reliability. Compression spring probes are constructed of heat-treated beryllium-copper, and plated with a minimum of 30 micro inches gold per MIL-G-45204 over a minimum of 30 micro inches nickel per SAE-AMS-QQ-N-290. Contact forces are 15g/contact on a 0.30mm to <0.40mm pitch; 16g/contact on a 0.40mm to <0.50mm pitch and 25g/contact on pitches of 0.50mm or larger.  Estimated contact life is a minimum of 500,000 cycles and operating temperature is -55° to +150°C. Aries Electronics Inc., North Hall, Booth 6063.

 

“Smart” spectrometer

The Exemplar from B&W Tek is a miniature spectrometer with an embedded processor to enable on-board data processing, including averaging, smoothing, and automatic dark subtraction. In addition to these “smart” capabilities embedded directly into its compact form factor, the Exemplar also features “SuperSpeed” USB 3.0 communication with a data transfer of 900 spectra per second. Multichannel capabilities deliver an ultra-low trigger delay of 14 nanoseconds and a gate jitter of +/-1 nanosecond. The ability to control the CCD exposure time to within one microsecond allows users to have unparalleled control over the spectra’s signal-to-noise ratio. It is ideal for demanding applications such as high speed binning & sorting, reaction kinetics, and process monitoring. With the ability to support up to 16 simultaneous channels, the Exemplar is also the perfect solution for simultaneous multichannel analysis, such as multipoint sampling, and laser induced breakdown spectroscopy (LIBS). B&W Tek, Booth 2241.

Bond test tool

The Nordson DAGE 4000Plus Bondtester meets the requirements of emerging test applications including ribbon pull, pad cratering using hot pin pull, bend and fatigue testing. The 4000Plus bondtester uses the next-generation Paragon software providing semi-automatic test routines, automatic GR&R calculation, unique database search engine wizard and superior data reporting. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

X-ray inspection system

The Nordson DAGE XD7600NT Diamond X-ray Inspection System features the Nordson DAGE NT maintenance-free, sealed transmissive X-ray tube, providing 0.1 µm  feature recognition and up to 10 W of power, together with the 2 Mpixel XiDAT3 digital image detector makes this system the choice for the highest performance and highest magnification imaging tasks. The vertical system configuration, with the X-ray tube sitting below the isocentric ‘move and tilt’ of the detector, all controlled through the simple, joystick-free, ‘point and click’ operation of the Nordson DAGE Image Wizard Software provides the safe and collision-free inspection required for production applications. Nordson DAGE, a division of Nordson Corporation (NASDAQ: NDSN), North Hall, Booth 5971.

Watch the Solid State Technology website for many more product previews of SEMICON West.

Visit the Semiconductors Channel of Solid State Technology!

The high-volume consumer applications driving the fast growth of the micro electro mechanical system (MEMS) market are putting new demands on MEMS development and manufacturing. Goals? Get products to market faster, make them easier to integrate into systems, reduce die size; and better control processes to bring down costs. That’s attracted investment from the supply chain in a range of new solutions, from innovative new process technologies and tools developed specifically for MEMS sector needs, to more efficient integrated design software.

June 27, 2012 — The MEMS sector is poised for a multiyear period of steady double digit growth, with 20% average annual increases in unit demand, as systems makers find ever more uses for low cost, easy-to-integrate silicon sensors and actuators, reports Jean Christophe Eloy, founder and CEO of Yole Développement, driving the MEMS market to double, to reach $21 billion by 2017. Volume consumer markets are driving much of this growth, as consumer applications accounted for more than 50% of total MEMS industry revenue in 2011. And that’s turning the once artisanal niche into a high volume production business, and bringing rapid technology change, with a push for speeding time to market, sharply scaling down die size, increasing integration of multiple sensors into combination units, and bringing more packaging value to the wafer level. “2011 has been the year of the transition of the MEMS market into big business with wide diffusion,” says Eloy. “But the ability of MEMS manufacturers to continue to scale size and cost, and to simplify development and system integration will directly impact the growth of MEMS business.”

Figure. Common model of an accelerometer being used as a block in the control system design stage. A common model reduces design iterations by allowing teams to easily move between design stages to identify failures and optimize the system. SOURCE: Coventor.

 

Meeting time-to-market needs with more efficient design tools
While decreasing time to market and cost reduction have always been key drivers, the short product cycle times associated with mobile consumer devices have forever changed the industry. “Development time is now measured in months, not years,” notes Mattan Kamon, Coventor’s Principal Technologist. “However, design is still mostly done using traditional research approaches, where different models are used at different stages of the design, costing valuable time.” Coventor’s approach accelerates MEMS product development by using a common model for all stages of design. An engineering team can use a single model to develop and optimize the MEMS device concept, tune and validate the design using 3D simulations, perform system simulation together with the ASIC, investigate packaging effects, and optimize yield. All of these steps can be performed using a single model, enabling MEMS teams to easily move back and forth between the design stages, identify failure mechanisms, and optimize the system.

Kamon argues this methodology has the accuracy to address integration effects and can optimize the nominal behavior and the range of behavior across a wafer due to fabrication variations. Coventor’s approach couples a library of high-order finite element models specialized for MEMS with judicious use of low-order finite element simulations, and uses the same simulators, namely MATLAB, Simulink, and Cadence Virtuoso, that are most widely used for analog/mixed-signal design. This holds potential for a MEMS verification flow that closely parallels the verification flow for analog/mixed signal design, and paves the way for a fabless MEMS industry complete with MEMS design kits (MDKs).

New processes to make low-cost cavities without etching

Finnish startup Scannano proposes that MEMS die size and cost could be significantly reduced, and performance improved, by creating sealed cavities in devices by using a controlled diffusion process, instead of by the traditional method of etching sacrificial layers and bonding on a cap wafer.

Following on from research with Nokia’s Research Center and Cambridge’s Cavendish Laboratory, company founders Andrei Pavlov and Yelena Pavlova came up with the idea of shrinking away buried layers in a device by through diffusion to create a vacuum gap, allowing the use of standard CMOS materials and equipment. The process deposits a proprietary multi-layer diffusion material, builds the MEMS structure over it, and then submits it to a series of processing steps to shrink the diffusion material. This creates a very accurate sealed vacuum cavity of the desired dimensions and configuration. “The gaps can be from a few nanometers to up to a micron deep, and can be vertical or at an angle, or multiple gaps could surround a structure, opening up the possibility of new types of MEMS designs,” says Pavlov. He also claims that shrinking features to 50-100nm can also reduce operating voltage to only a few volts and reduce heat, while the very smooth surfaces help to improve sensitivity, signal-to-noise ratio and performance.

The first application for Scannano’s Deep Vacuum Gap Technology is a tunable capacitor and switch for multiband tunability for mobile phones, under development with STMicroelectronics and tentatively targeted for initial trial production on a CMOS line by the end of the year. The new device adjusts operating frequencies by changing capacitance through moving membrane-like MEMS structures, created by adjusting gap dimensions above and below the membrane. Pavlov says work with ST has been progressing for about a year, and is now moving towards final device design and testing. Scannano is also working with other European CMOS device manufacturers to develop sensors for the automotive market, monolithically integrated with the ASIC in their CMOS fabs.

Figure. MEMS structures with aspect ratios of >100:1. SOURCE: Applied Materials.

Volume markets attract investment in dedicated MEMS processes and tools

Fast growing MEMS volumes have also attracted the attention of more semiconductor players, including equipment giant Applied Materials. Applied has invested aggressively in development of new film and process technologies to support current and future generations of MEMS production at ≤200mm wafer sizes, focusing on shrinking die size, improving throughput, and integrating MEMS processes into CMOS fabs, says Mike Rosa, MEMS product line manager. This includes DRIE technology critical for both increased productivity and process flexibility as next generation MEMS devices enter the sub-micron range of critical dimensions, with aspect ratios of >100:1 (see the figure above).

Applied Materials is also working on modifying its PVD and CVD equipment to make a variety of enabling films of new materials for MEMS, including thick (>20µm), low temperature CVD films (SiO2, SiGe, etc.); and PVD films such as magnetically aligned NiFe, high uniformity AlN and thick Al.

Tool vendors will need to be increasingly attuned to the MEMS device capability and technology requirements of their customers’ customers, the fabless device designers and systems companies, notes Rosa. “In the MEMS industry there is no traditional roadmap, like the ITRS, to define the future,” he says. “It will take a much more collaborative effort by all parties — tool vendors, device manufacturers, and end-market product developers — to define and deliver the next generation MEMS designs that are destined for the newest ‘next big thing’ products.”

Also focusing on enabling tools for next generation MEMS is Nikon, with a new stepper with a large depth of focus specifically for the 200mm MEMS market. Though MEMS makers have traditionally used lower cost aligners to make their relatively large patterns, now finer features and tighter design rules may increasingly require the higher resolution and better alignment accuracy of steppers. But IC steppers are typically expensive and not well suited to the extreme topographies of MEMS. This Mini Stepper has ≤0.35µm overlay accuracy and resolution to 2µm, and depth of focus capabilities up to 26 µm for the thick resists and deformed substrates typical of MEMS, reports Junpei Fukui, Nikon Engineering assistant manager. It also offer flexible alignment to compensate for MEMS’ process-induced distortions, as well as alignment by pattern matching and backside marks.

These and other speakers including IDT, Hanking Electronics, Teledyne DALSA, Micralyne and NIST discuss solutions for growing the MEMS sector to the next level at SEMICON West, July 10 -12 in San Francisco. See http://semiconwest.org/Segments/MEMS for the complete agenda, and http://semiconwest.org/Participate/RegisterNow to register.

Read on for a SEMICON West preview from Doe on collaboration in the MEMS ecosystem.

June 26, 2012 — JP Sercel Associates Inc. released the IX-6168-PS picosecond-laser-based micromachining platform, using lasers with 5-500 picosecond pulse lengths.

The lasers machine glass, ceramics, metals and alloys, and other hard-to-process materials. With ultrafast laser processing, the pulse duration is shorter than the thermal diffusion timescale of the material, resulting in a direct solid to vapor transition that greatly reduces debris formation, and minimizes thermal impact on the surrounding area.

The JPSA picosecond laser platform is designed to accept multiple types of ultrafast lasers. Laser choices include infrared (IR), green or UV wavelengths, and a range of power and pulse rate options. The laser is accommodated in a slide-out service trolley within the workstation, and is fully integrated with the system control software. 

JPSA provides a dual-beam delivery configuration; a fixed-beam configuration can be used to produce a finely focused beam, with a precision air-bearing stage for precise feature positioning; for high-speed processing of complex shapes, a high-accuracy galvanometer configuration incorporates a step-and-scan function. The IX-6168-PS is delivered with both fixed beam and galvanometer scanning capability, and can be easily reconfigured on-site to suit individual needs.

The IX-6168-PS can be supplied as a manual-load system, or combined with JPSA’s Integrated Automation Platform for fully automated operation in semiconductor wafer applications. Configuration options include laser wavelength, power and repetition rate, and a choice of galvanometer scanner and lens systems to optimize large deflection field applications.

JPSA products and services include UV excimer, DPSS and ultra-fast laser micromachining systems, UV and VUV laser beam delivery systems, laser materials processing development, optical damage testing, and excimer laser refurbishment services. JPSA operates a high-performance laser job shop as well as a systems engineering and manufacturing business. For more information, visit http://www.jpsalaser.com.

June 25, 2012 — Barclays Capital checks in on the extreme ultraviolet lithography (EUV, EUVL) market potential and which semiconductor manufacturers will press adoption. The analysts also update their expectations for lithography tool suppliers on the EUV front.

Deep ultraviolet (DUV) lithography is reaching its limits in enabling cost-effective linewidth shrinks on semiconductor wafers. An increasing number of chip layers are resorting to multiple lithography/deposition/etch steps per layer. While the cost tradeoff of multiple patterning over EUVL is still a consideration for chipmakers, the number of litho masks required will continue to ramp for DRAM, NAND, and logic if EUV is not adopted.

Barclays expects DRAM to be the first EUV litho adopter, with the foundry sector following close behind, thanks to layer requirements for each. Intel is unlikely to be an early adopter; look instead to Samsung and TSMC. The EUVL adoption curve should materialize, Barclays says, assuming lithography tool supplier ASML is able to reach ~30-40 wafers per hour (WPH) throughput at a ~75-80% duty cycle on its EUV tool by the end of 2012, ~70WPH by Q1 2014, and ~125WPH by Q2 2015.

The biggest bottleneck to EUV readiness remains delays in EUV light source power output, reliability, and cleanliness. Of the 3 light source manufacturers, Cymer is the furthest ahead in terms of ramping power, throughput, and light source reliability; deploying light sources to chipmakers; and design-in wins (all of ASML’s 11 NXE 3300 tools on order currently use Cymer sources), Barclays reports. Lithography watchers will continue to focus on whether Cymer will meet its milestones, and whether Ushio will be able to catch up in 2013.

2012 is the year for meaningful breakthroughs in EUV lithography, but true EUV ramp will come in the 2014/2015 timeframe. This should coincide with DRAM transitioning to ~20nm and foundries to 14nm, reports Barclays. The analysts map out ~18 EUV tools to foundries, ~8 to DRAM, ~4 to Intel, and none to NAND (though ramping in 2016), for total 2015 shipments of 30-36 EUV tools.

Beyond 2015, further tool penetration and new income from service and parts will benefit Cymer in particular, as well as ASML.

Access Barclays Capital’s full report at http://live.barcap.com/PRC/servlets/dv.search?contentPubID=FC1833189&bcllink=decode

Visit the Semiconductors Channel of Solid State Technology!