Pete's Posts Blog

Monthly Archives: April 2016

10 Reasons to Attend The ConFab this June

The ConFab Conference and Networking Event will be held June 12-15. Presented by Solid State Technology, this executive-level event is designed exclusively for those driving growth and innovation in the semiconductor industry. With a theme the “New Age of Innovation for Semiconductors,” it features deep insights on the challenges and opportunities facing the industry and also offers powerful networking opportunities. Here are my top 10 reasons to register now.

  1. The keynotes. Hear from Dr. Thomas Caulfield, senior vice president and general manager of the GlobalFoundries’ latest leading-edge 300mm semiconductor wafer manufacturing facility; Sunny Hui, senior vice president of worldwide marketing, Semiconductor Manufacturing International Corp., and Bill McClean, President of IC Insights.
  2. Dynamic networking. A big part of The ConFab is the networking. There are plenty of opportunities to get together at breakfast, lunch and for evening receptions. The semiconductor industry has undergone unprecedented consolidation over the last year and the only way to know who’s who in the new landscape it to get out and talk to people.
  3. Strategic business meetings. We arrange strategic meetings between technology suppliers and manufacturers, including IDMs, foundries and OSATs. Fabless companies, which are increasingly driving manufacturing decisions, are also involved.
  4. The big picture. You’ll walk away with a high level overview of the myriad of challenges and opportunities now facing the semiconductor industry. In our first session, speakers will include Dan Armbrust, CEO and co-founder, Silicon Catalyst; Lode Lauwers, VP, Business Development, imec; Kevin Gibb, Editor for the Research Division at TechInsights; Hughes Metras, VP Strategic Partnerships N.A., CEA Leti; and Mark Reynolds, Senior Director Industry Development, New York Empire State Development.
  5. Why new thinking is required for IoT innovation. The semiconductor industry needs to change the way it thinks about innovation, both technical innovation and business model innovation, especially when it comes to the Internet of Things (IoT). A panel session of experts and visionaries will discuss IoT’s role in various applications, how it will require investments in gateways, networks, servers and data analysis computers, and why IoT is the new big driver for semiconductor technology. Panelists include Uday Tennety, Director, Strategic Engagements and Innovation, GE Digital; Rajeev Rajan, Vice President of Product for Internet of Things, GlobalFoundries; Kelvin Low, Foundry Marketing, Samsung SSI; and Tim Hewitt, Director of Industry Solutions at Siemens. Come and ask questions!
  6. Fab Management. Today’s fab managers face a long list of everyday concerns and long term challenges. They must continually be thinking of ways to improve operational efficiency, optimize asset utilization, boost tool and worker productivity (and safety), increase throughput, maximize yield and reduce defectivity. A session will focus on this issues, with a focus on real, hands-on solutions. Speakers will include: Sanchali Bhattacharjee, Technology Strategist: Component Supply Chain, Intel; Ardy Sidwha, Sr. Director, Innovation & Technology (R&D) at QuantumClean; Rick Glasmann, Senior Director and Managing Director FE Operations Temecula; and Mike Czerniak, product marketing manager at Edwards.
  7. System Level Integration: New Directions in Packaging. System level package innovation and heterogeneous integration encompass a wide range of technologies, including module and 3D packaging, system-in-package (SiP), fanout, and embedded technologies. But questions remain. How will these technologies be utilized in advanced data centers & network systems, in future smart phones, and the growing medical, industrial and lifestyle IoT applications? A session, sponsored and organized by IEEE’s CPMT Society, will look at how packaging technologies are enabling innovative solutions that achieve system application requirements while maximizing system level performance, and, meeting cost, performance, form factor and reliability goals.
  8. China. With the “Made in China 2025” initiative, China is aiming to improve the self-sufficiency rate for ICs in the nation to 40% in 2020, and boost the rate further to 70% in 2025. What will be key is how Chinese companies can gain access to 16/14nm, 10nm, and 7nm technologies as well as DRAM and 3D NAND technologies. China is also planning to be global leader in 5G, with test development in 2018 and initial broadband deployment in 2020. This session will examine how the China “wild card” and increased M&A activity designed to bring advanced technology into China is a true game-changer for the worldwide semiconductor industry. Following SMIC’s Sunny Hui’s keynote, presenters will include Ed Pausa from PricewaterhouseCoopers and Jimmy Goodrich, Vice President, Global Policy, Semiconductor Industry Association. Bill McClean will also discuss China in his talk.
  9. Great location. The ConFab will take place at the beautiful Encore at The Wynn right in downtown Las Vegas.
  10. Collaboration. It’s clear that the need for real collaboration has never been greater. At The ConFab, industry leaders will gather to tackle tough questions, take a look at the new post-consolidation landscape, network in a unique environment and collaborate on the future.

Register now by contacting Sally Bixby at [email protected]. Complimentary passes are available to qualified VIPs. You can also check out The ConFab website. I hope to see you there!