Pete's Posts Blog

Monthly Archives: July 2017

The ConFab 2018 will be held May 20-23

The ConFab 2018, to be held May 20-23 in Las Vegas, will take a close look at the new applications driving the semiconductor industry, the technology that will be required at the device and process level to meet new demands, and – perhaps most importantly – the kind of strategic collaboration that will be required. It is this combination of business, technology and social interactions that make The ConFab so unique and so valuable. Here are six key trends that will each have a huge impact in the near future:

  • The semiconductor industry is on the cusp of a new era of growth, driven by a diverse array of applications. Much of the growth will come from the need for better connectivity and more intelligent data analysis.
  • In the Internet of Things (IoT), data is captured by sensors and transferred via the appropriate networks, stored in data centers and analyzed. This creates demand for high performance computing, including artificial intelligence and “deep learning.” New computational methods are emerging, such as neuromorphic methods that mimic how the brain works.
  • Faster communication with higher bandwidth will be required. 5G wireless communication is coming, as is improved WiFi, near-field communication, Bluetooth and satellite communication.
  • Huge opportunities exist in automotive electronics, as autonomous driving moves closer to reality.
  • Virtual reality will be combined with artificial intelligence to create a truly immersive experience that mankind has never experienced.
  • Semiconductors will play an increasingly important role in the healthcare industry, as diagnostic tools and patient monitoring.

To meet the demands of these diverse applications, much innovation will be required on the technology side. Huge efforts are also needed to reduce the overall cost. Since the beginning, the economics of semiconductor manufacturing has been a focal point of The ConFab. In 2018, we will be including insights into the emerging and rapidly growing new markets and what semiconductor device manufacturers need to know to successfully tap into those markets.

New technology needed in manufacturing will be another focal point of The ConFab. EUV is finally entering volume production, ushering in a new era of patterning for the 7 and 5nm generations. Many new materials are being considered, transistors are evolving from FinFETs to gate-all-around nanowires, on chip communication with silicon photonics will soon emerge, and advanced packaging/heterogeneous integration is ever more critical.

There is a strong need for strategic collaboration across the entire supply chain. Empowering that collaboration is a high priority goal for The ConFab 2018. We do that through private, pre-arranged meetings among interested parties.  The ConFab also includes well-attended evening receptions plus breakfasts, lunches and refreshment breaks. These offer exceptional networking opportunities for people to meet in a relaxed environment.

In 2018, we expect heightened interest and involvement as we explore how businesses, people and technology must all work together to meet the world’s insatiable demand for new electronics.