Tag Archives: atoms

Single-electron Molecular Switch 4nm Across

A molecule rotating on the surface of a crystal can function as a tunnel-gate of a transistor, as shown by researchers from the Paul-Drude-Institut für Festkörperelektronik (PDI) and the Freie Universität Berlin (FUB), Germany, the NTT Basic Research Laboratories (NTT-BRL), Japan, and the U.S. Naval Research Laboratory (NRL). Their complete findings are published in the 13 July 2015 issue of the journal Nature Physics. The team used a highly stable scanning tunneling microscope (STM) to create a transistor consisting of a single organic molecule and positively charged metal atoms, positioning them with the STM tip on the surface of an indium arsenide (InAs) crystal.
Dr. Stefan Fölsch, a physicist at the PDI who led the team, explained that “the molecule is only weakly bound to the InAs template. So, when we bring the STM tip very close to the molecule and apply a bias voltage to the tip-sample junction, single electrons can tunnel between template and tip by hopping via nearly unperturbed molecular orbitals, similar to the working principle of a quantum dot gated by an external electrode. In our case, the charged atoms nearby provide the electrostatic gate potential that regulates the electron flow and the charge state of the molecule.”

(Top) STM images of phthalocyanine (H2Pc) molecule rotated from a neutral (50 pA, 60 mV; left) to −1 charged states (50 pA, −60 mV; centre and right) on InAs(111) surface using a ~4nm across hexagonal array of charged indium adatoms surrounding the H2Pc to create rotational energy minima, and (Bottom) schematic model of H2Pc rotation relative to the InAs lattice resulting in the electrostatic gating of tunneling to an STM tip vertical to the device. (Source: Nature Physics)

(Top) STM images of phthalocyanine (H2Pc) molecule rotated from a neutral (50 pA, 60 mV; left) to −1 charged states (50 pA, −60 mV; centre and right) on InAs(111) surface using a ~4nm across hexagonal array of charged indium adatoms surrounding the H2Pc to create rotational energy minima, and (Bottom) schematic model of H2Pc rotation relative to the InAs lattice resulting in the electrostatic gating of tunneling to an STM tip vertical to the device. (Source: Nature Physics)

The Figure shows that the diameter of the device is ~4nm, so by conservative estimation we may take this as the half-pitch of closest-packed devices in IC manufacturing, which leads to pitch of 8nm. As a reminder, today’s “22nm- to 14nm-node” devices feature ~80nm transistor gate pitches (with “10nm node” planning to use ~65nm gate pitch, and “5nm node” ICs expected with ~36nm gate pitch). Thus, these new prototypes prove the concept that ICs with densities 100x more than today’s state-of-the-art chips could be made…if on-chip wires can somehow connect all of the needed circuitry together reliably and affordably.
—E.K.

Ferromagnetic Room Temperature Switching

Bismuth-ferrite could make spin-valves that use 1/10th the power of STT

A research team led by folks at Cornel University (along with University of California, Berkeley; Tsinghua University; and Swiss Federal Institute of Technology in Zurich) have discovered how to make a single-phase multiferroic switch out of bismuth ferrite (BiFeO3) as shown in an online letter to Nature. Multiferroics, allowing for the control of magnetism with an electric field, have been investigated as a potential solid-state memory cell for many years but this is the first time that reversible room-temperature switching has been reportedly achieved at room temperature. Most importantly, the energy per unit area required to switch these new cells is approximately an order of magnitude less than that needed for spin-transfer torque (STT) switching.

“The advantage here is low energy consumption,” said Cornell postdoctoral associate John Heron, in a press release. “It requires a low voltage, without current, to switch it. Devices that use currents consume more energy and dissipate a significant amount of that energy in the form of heat.”

The trick that Heron and others discovered involves a two-step sequence of partial switching events—using only applied voltages—that add up to full magnetic reversal. Previous theory had shown that single-step switching was thermodynamically impossible, and no other groups had reported work on similar two-step switching. Also published in the News & Views section of Nature is “Materials science:  Two steps for a magnetoelectric switch” written by other researchers, which explores the possibilities of using this phenomenon in nanoscale memory chips.

While the thermodynamics of all of this seem incredibly positive, the kinetics of this two-step process have yet to be reported. Also, the effect seems to require specific crystal stuctures such as that of SrRuO3 in a particular orientation as electrical contacts, instead of the inherently less-expensive randomly oriented metal contacts to STT cells. Consequently, this could be inherently slow and expensive technology, and thus limited to niche applications.

—E.K.

Nakamura Co-Wins Nobel for Blue LEDs

The Nobel Prize in Physics 2014 was awarded jointly to Isamu Akasaki, Hiroshi Amano, and Shuji Nakamura “for the invention of efficient blue light-emitting diodes which has enabled bright and energy-saving white light sources”. In the late 1980s red and green LEDs had been around for decades, but despite large programs in both academia and industry there had been almost no R&D progress in blue LEDs (this editor did process R&D in an LED fab in that era). Then Akasaki and Amano at the University of Nagoya showed work on improved p-doping in GaN due to electron irradiance, leading to p-n junctions to make diodes.

Structure of a blue LED with a InGaN/AlGaN double heterojunction [Source: S. Nakamura, T. Mukai & M. Senoh, Appl. Phys. Lett. 64, 1687 (1994)].

Structure of a blue LED with a InGaN/AlGaN double heterojunction (Source: S. Nakamura, T. Mukai & M. Senoh, Appl. Phys. Lett. 64, V1687, 1994).

From 1989 to 1994, Shuji Nakamura worked at Nichia Chemicals in Tokushima, Japan where he led a small team of co-workers to achieve a quantum efficiency of 2.7% using a double heterojunction InGaN/AlGaN (see Figure). With these important first steps, the path was cleared towards the development of efficient blue LEDs and solid-state white lighting. Nakamura-sensei is now a Professor of Physics at the University of California, Santa Barbara, and co-founder of Soora Corp. where GaN-on-GaN technology is used to increase efficiency through the elimination of the buffer-layers needed with saphhire substrates. The “Tales of Nakamura” article at IEEE Spectrum provides an excellent summary of this extraordinary man’s life story, including the US$600M payout from Nichia that was reduced to US$8M by a higher court.
Incandescent light bulbs lit the 20th century; the 21st century will be lit by LED lamps with high lm/W efficiency. The most recent record is just over 300 lm/W, which can be compared to 16 for regular light bulbs and close to 70 for fluorescent lamps. As about one fourth of world electricity consumption is used for lighting purposes, the LEDs contribute to saving the Earth’s resources.
Shine on!
—E.K.

Chasing IC Yield when Every Atom Counts

Increasing fab costs coming for inspection and metrology
ITRS2013_Yield_overviewAt SEMICON West this year in Thursday morning’s Yield Breakfast sponsored by Entegris, top executives from Qualcomm, GlobalFoundries, and Applied Materials discussed the challenges to achieving profitable fab yield for atomic-scale devices (Figure source is the ITRS 2013 Yield Chapter). Due to the sensitive nature of the topic, recording was not allowed and copies of the presentations could not be shared.
Qualcomm – Geoffrey Yu
Double-patterning will be needed for metal and via layers as we go before 90nm pitch for the next generations of ICs. Qualcomm is committed to designing IC with smaller features, but not all companies may need to do so. Fab costs keep going up for atomic-scale devices…and there are tough trade-offs that must be made, including possibly relaxing reliability requirements. “Depending on the region. If you’re in an emerging region maybe the reliability requirements won’t be as high,” said Yu. Through-Silicon Vias (TSV) will eventually be used to stack IC layers, but they add cost and will only be used when performance cannot be met with cheaper solutions. “An early idea was to use TSV for logic:memory,” reminded Yu, “but then there was innovation to LPDDR4 allowing it deliver the same bandwidth with one-half the power of LPDDR3, which delayed TSV.”
GlobalFoundries – Harry Levenson
“A more expensive part could provide a better value proposition for a customer,” reminded Levenson as he discussed the challenges of inspecting next-generation commercial ICs in high-volume manufacturing (HVM). “We still have clear demand for products to run in HVM at the leading edge, but we are now in the world of double-patterning and this applies to optical inspection as well as imaging.” Requirements for inspection and imaging are different, but he same physics applies. In imaging Depth of Focus (DoF) of ~140nm is generally preferred, while the same used for inspection  of a <140nm thin film would to induce noise from lower-levels. We can’t do e-beam inspections due to too much energy concentration needed to get acceptable throughput (and the challenge gets worse as the pixel area is reduced, inherently slowing down throughput). However, e-beams are helpful because they can detect open contracts/vias in metal levels due to the conductivity of electrons providing additional contrast compared to any possible optical inspection.
Applied Materials – Sanjiv Mittal
Mittal discussed how the CMOS transistor gate formation process has increased in complexity over the last few device generations:  8x more unit-process steps, 3x higher fab cost, 50x lower defects needed for yield. “The challenges are immense,” admitted Mittal. “What happens when you try to work on yield improvement when you’re ramping volume? At the same time you’re trying to improve yield by making changes, you’re trying to increase the volume by not making changes.”
Entegris – Jim O’Neill
O’Neill is CTO of the combined Entegris post-merger with ATMI, and was recently director of advanced process R&D for IBM. Since Entegris provides materials and sub-systems, in the simplest cases the company works to improve IC fab yield by minimizing defects. “However, the role of the materials-supplier should change,” averred O’Neill. “The industry needs bottle-to-nozzle wet chemistry solutions, and applications-based clean gas delivery.” In an exclusive interview with SST/SemiMD, O’Neill provided as example of a ‘wetted process solution’ a post-CMP-clean optimized through tuning of the brush polymer composition with the cleaning chemistry.
ITRS Difficult Challenges for Yield 2013-2020

  • Existing techniques trade-off throughput for sensitivity, but at expected defect levels, both throughput and sensitivity are necessary for statistical validity.
  • Reduction of inspection costs and increase of throughput is crucial in view of CoO.
  • Detection of line roughness due to process variation.
  • Electrical and physical failure analysis for killer defects at high capture rate, high throughput and high precision.
  • Reduction of background noise from detection units and samples to improve the sensitivity of systems.
  • Improvement of signal to noise ratio to delineate defect from process variation.
  • Where does process variation stop and defect start?

—E.K.

Moore’s Law is Dead – (Part 4) Why?

We forgot Moore merely meant that IC performance would always improve (Part 4 of 4)

IC marketing must convince customers to design ICs into electronic products. In 1965, when Gordon Moore first told the world that IC component counts would double in each new product generation, the main competition for ICs was discrete chips. Moore needed a marketing tool to convince early customers to commit to using ICs, and the best measure of an IC was simply the component count. When Moore updated his “Law” in 1975 (see Part 1 of this series for more details), ICs had clearly won the battle with discretes for logic and memory functions, but most designs still had only single-digit thousands of transistors so increases in the raw counts still conveyed the idea of better chips.

MooresLaw_1965_graphFor almost 50 years, “Moore’s Law” doubling of component counts was a reasonable proxy for better ICs. Also, if we look at Moore’s original graph from 1965 (right), we see that for a given manufacturing technology generation there is a minimal cost/component at a certain component count. “What`s driven the industry is lower cost,” said Moore in 1997. “The cost of electronics has gone down over a million-fold in this time period, probably ten million-fold, actually. While these other things are important, to me the cost is what has made the technology pervasive.”

Fast forward to today, and we have millions of transistors working in combinations of “standard cell” blocks of pre-defined functionalities at low cost. Graphics Processor Units (GPU) and other Application Specific Integrated Circuits (ASIC) take advantage of billions of components to provide powerful functionalities at low cost. Better ICs today are measured not by mere component counts, but by performance metrics such as graphics rendering speed or FLOPS.

The limits of lithography (detailed in Part 2 of this blog series) mean that further density improvements will be progressively more expensive, and the atomic limits of physical reality (detailed in Part 3) impose a hard-stop on density at ~1000x of today’s leading-edge ICs. “If we say we can`t improve the density anymore because we run up against all these limitations, then we lose that factor and we`re left with increasing the die size,” said Moore in 1997.

Since the cost of an IC is proportional to the die size, and since the cost/area of lithographic patterning is not decreasing with tighter design-rules, increasing the die size will almost certainly increase cost proportionally. We may not need larger dice with more transistors, however, as future markets for ICs may be better served by the same number of transistors integrated with new functionalities.

International R&D center IMEC knows as well as any organization the challenges of pushing lithography and junction-formation and ohmic contacts to atomic limits. In the 2014 Imec Technology Forum, held the first week of June in Brussels, president and chief executive officer Luc Van den hove’s keynote address focused on the applications of ICs into communications, energy, health-care, security, and transportation applications.

TI has been making ICs since they were co-invented by Kilby in 1959, and over a decade ago TI made a conscious decision to stop chasing ever-smaller digital. First it outsourced digital chip fabrication to foundries, and in 2012 began retiring digital communications chips. Without continually shrinking components, how has TI managed to survive? By focusing on design and integration of analog components, in the most recent financial quarter the company posted 58% gross margin on $3.29B in sales.

At The ConFab last month, Dr. Gary Patton, vice president, semiconductor research and development center at IBM, said there is a bright future in microelectronics (as documented at Pete’s Posts blog).

The commercial semiconductor manufacturing industry will see only continued revenue growth in the future. We will process more area of silicon ICs each year, in support of shipping an ever increasing number of chips worldwide. More fabs will be built needing more tools and an increasing number of new materials.

Moreover, next generation chips will be faster or smaller or cheaper or more functional, and so will better serve the needs of new downstream customers. ASICs and 3D heterogeneous chip stacks will create new IC product categories leading to new market opportunities. Personalized health care could be the next revolution in information technologies, requiring far more sensors and communications and memory and logic chips. With a billion components, the possibilities for new designs to create new IC functionalities seems endless.

However, we are past the era when the next chips will be simultaneously faster and smaller and cheaper and more functional. We have to accept the end of Dennard Scaling and the economic limits of optical lithography. Still, we should remember what Gordon Moore meant in 1965 when he first talked about the future of IC manufacturing, because one factor remains the same:

The next generation of commercial IC chips will be better.

Past posts in the blog series:

Moore’s Law is Dead – (Part 1) What defines the end.

Moore’s Law is Dead – (Part 2) When we reach economic limits,

Moore’s Law is Dead – (Part 3) Where we reach atomic limits.

Future posts in this blog will ruminate about new materials, designs, and technologies for next 50 years of IC manufacturing.

E.K.

Moore’s Law is Dead – (Part 3) Where?

…we reach the atomic limits of device scaling.

At ~4nm pitch we run out of room “at the bottom,” after patterning costs explode at 45nm pitch.

Lead bongo player of physics Richard Feynman famously said, “There’s plenty of room at the bottom,” and in 1959 when the IC was invented a semiconductor device was composed of billions of atoms so it seemed that it would always be so. Today, however, we can see the atomic limits of miniaturization on the horizon, and we can start to imagine the smallest possible functioning electronic device.

Today’s leading edge ICs are made using “22nm node” fab technology where the smallest lithographically defined structure—likely a transistor gate—is just 22nm across. However, the pitch between such transistors is ~120nm, because we are already dealing with the resolution limits of lithography using water-immersion 193nm with off-axis-illumination through phase-shift masks. Even if a “next-generation” lithography (NGL) technology were proven cost-effective in manufacturing— perhaps EbDW for guidelines combined with DSA for feature fill and EUV for trim—we still must control individual atoms.

We may have confidence in shrinking to 62nm pitch for a 4x increase in density. We may even be optimistic that we can shrink further to a 41nm pitch for a ~10x increase in density…but that’s nearing the atomic limits of variability. There are many hypothesized nanoscale devices which could succeed silicon CMOS in IC, but one commonality of all devices is that they will have to be electrically connected. Therefore, we can simplify our consideration of the atomic limits of device scaling by focusing on the smallest possible interconnect.

4nmPitchDevice_TheorySo what is the smallest possible electrical interconnect? So far it would be a Single-Walled Carbon NanoTube (SWCNT) doped with metals to be conducting. The minimum diameter of a SWCNT happens to be 0.4nm, but that was found inside another CNT and the minimum repeatable diameter for a stand-alone SWCNT is ~1nm. So if we need three contacts to a device then the smallest device we can build with atoms would be a 3nm diameter quantum dot. As shown in the figure at right, if we examine a plan-view of such a device we can just fit three 1nm diameter contacts within the area.

Our magical device will have to be electrically isolated and so some manner of dielectric will be needed with some minimal number of atoms. Atomic Layer Deposition (ALD) of alumina has been proven in very tight geometries, and 3 atomic layers of alumina takes up ~1nm so we can assume that spacing between devices. A rectangular array would then result in ~16nm2 as the smallest possible 3-terminal device that can be built on the surface of planet Earth.

Note that a SWCNT of ~1 nm diameter theoretically could carry ~25 microAmps across an estimated 5kOhm internal resistance [(ECS Transactions, 3 (2) 441-448 (2006)]. I will leave it to someone with a stronger device physics background to comment as to the suitability of such contacts for useful circuitry. However, from a manufacturing perspective, to ensure electrical contacts to billions of nanoscale devices we generally use redundant structures, and doubling the number of SWCNT contacts to a 3-terminal device would call for ~8 nm pitch.

However, before we reach the 4-8nm pitch theoretical limits of device scaling, we will reach relative economic limits of scaling just one device feature such as a transistor gate. Recall that there are just 22 silicon atoms (assuming silicon crystal lattice spacing of ~0.3nm) across a ~7nm line, and every atom counts in controlling device parameters. Imec’s Aaron Thean recently provided an excellent overview of scaled finFET technologies, and though the work does not look at packing density we can draw some general trends. If we assume 41nm pitch and double fins with 20nm gate length then each device would use ~1,600 nm2.

Where are we now? Let us consider traditional 6-transistor (6T) SRAM cells built using “22nm node” logic process flows to have minimal area of ~100,000 nm2 or ~16,000 nm2 per transistor. At IEDM2013 (9.1), TSMC announced a “16nm node” 6T SRAM with ~70,000 nm2 area or ~10,000 nm2 per transistor.

IBM recently announced that 6 parallel 30nm long SWCNT spaced 8nm apart will be developed as transistors for ICs by the year 2020. Such an array would use up ~1440 nm2 of area. Again, this is at best another 10x in density compared to today’s “22nm node” ICs.

Imec held another Technology Forum at SEMICON/West this year, in which Wilfried Vandervorst presented an overview of innovations in metrology needed to continue shrinking device dimensions. His work with Scanning Spreading Resistance Microscopy (SSRM) is extraordinary, showing ability to resolve 1-2nm conductivity variations in memory cell material. Working with Resistive RAM (ReRAM) material using a 2nm diameter probe tip as the top contact, researchers were able to show switching of the material only underneath the contact…thus proving that a stable ReRAM cell can be made with that diameter. If we use cross-bar architectures of that material we’d be at a 4nm pitch for memory, coincidentally the same pitch needed for the densest array of 3-terminal logic components.

IC SCALING LIMITATION

Pitch / “Node”

Transistor nm2

Scale from 22nm

193nm lithography double-patterning

124nm / “22nm”

16000

1

Atomic variability (economics)

41nm / “7nm”

1600

10

Perfect atoms (physics)

4nm

16

1000

The refreshing aspect of this interconnect analysis is that it just doesn’t matter what magical switch you imagine replacing CMOS. No matter whether you imagine quantum-dots or molecular memories as circuit elements, you have to somehow connect them together.

Note also that moving to 3D IC designs does not fundamentally change the economic limits of scaling, nor does it alter the interconnect challenge. 3D ICs will certainly allow for greater number of devices to be packed into a given volume, so mobile applications will likely continue to pull for 3D integration. However, the cost/transistor is limited by 2D process technologies that have evolved over 60 years to provide maximum efficiency. Stacking IC layers will allow for faster and smaller devices, though generally only with greater costs.

Atoms don’t scale.

Past posts in the blog series:

Moore’s Law is Dead – (Part 1) What defines the end, and

Moore’s Law is Dead – (Part 2) When we reach economic limits.

The final post in this blog series (but not the blog) will discuss:

Moore’s Law is Dead – (Part 4) Why we say long live “Moore’s Law”!

E.K.