Tag Archives: EUV

EUVL Masks may need to be Tool-Specific

Extreme Ultra-Violet Lithography (EUVL) keeps hurting my brain. Just when I can understand how it could be used in profitable commercial high-volume manufacturing (HVM) I hear something that seriously strains my brain. First it was the mirrors and mask in vacuum, then it was the resist and pellicle, then it was the source power and availability, and in each case scientists and engineers did amazing work and showed a way to HVM. Now we hear that EUVL might require fabs to park work-in-progress (WIP) lots of wafers behind a single critical tool with an idealistic 80% availability on a good day, and lots of downtime bad days. Horrors!

For “5nm-node” designs the maximum allowable edge placement-error (EPE) in patterning overlay is only 2nm. While the physics of ~13.5nm wavelength EUVL means that aberration in the reflecting mirrors appears as up to 3nm variation in the fidelity of projected patterns. This variation can be measured and compensated for at the physical mask level, but then each mask would only be good for one specific exposure tool. John Sturtevant—SPIE Fellow, and director of RET product development in the Design to Silicon Division at Mentor Graphics—briefly discussed this on February 26th during Nikon LithoVision held just before SPIE Advanced Lithography.

Sturtevant explained that the Zernike coefficients for EUV are inherently almost 1 order-of-magnitude higher than for DUV at 193nm wavelength, as detailed in the SemiMD article “Edge Placement Error Control in Multi-Patterning.” How the inherent physical sources of aberration must be tightened to avoid image distortion and contrast loss as they scale with wavelength was discussed by by Fenger et al. in 2013 in the article “Extreme ultraviolet lithography resist-based aberration metrology” (doi:10.1117/1.JMM.12.4.043001).

—E.K.

EUV Cost at 1000 Daily Exposures

On October 14, 2015, ASML Holding N.V. (ASML) published its 2015 third-quarter results:  Q3 net sales of €1.55 billion with gross margin of 45.4% (in line with guidance), and guided Q4 2015 net sales at approximately €1.4 billion and a gross margin of around 45%. Due to mismatched financial analyst expectations, Bloomberg reported that ASML’s stock price dropped ~7% in a single day of trading, despite the company also reporting upgrades to both the TWINSCAN NXT 193nm-immersion (193i) and the NXE Extreme Ultraviolet (EUV) tools. In particular, a new record of 1000 wafer exposures in a single day was set by one EUV tool.

The science of controlling the 13.54nm wavelength electromagnetic radiation that we like to call “Extreme Ultra-Violet” or “EUV” (instead of the colloquial scientific term “soft x-ray”) is inherently challenging. The engineering of EUV Lithography is not just challenging but bordering on inherently impossible:  from exploding tin plasma source, to all-reflective lenses that absorb energy, to the trade-offs in mask pattern protection. The team at ASML working on the exposure tool—along with the different specialist organizations still working on improved sources, masks, and resists—deserve the industry’s unwavering admiration for the important work they do every day.

In a prepared statement, ASML President and Chief Executive Officer Peter Wennink said, “We have proven the capability both to expose 1,000 wafers per day and, in a manufacturing readiness test, to expose 15,000 wafers in four weeks. We have also achieved a four-week average availability of more than 70 percent  at multiple customer sites. The first shipment of our fourth-generation EUV lithography system, the NXE 3350B, is in progress, with two more expected to ship in Q4.”

Still, progress along desired EUV roadmaps continues to be slow, and the competitive target shifts when the 193i exposure tool gains a 10% throughput improvement to 275 wafer-passes/hour (wph). When the 193i tool gains a 30% overlay improvement, that means double-patterning based on litho-etch-litho-etch (LELE) process flows gain in pattern fidelity. Since ASML provides both technologies, delays in orders for EUV just means more sales of 193i tools.

Let’s play with the numbers here…275 wph x 20 hours x 30 days = 165k wafer-passes/month for the NXT:1980. The NXE:3350B can current handle 15k wafer-passes/month. So even if the tools were equally priced, just based on tool depreciation each EUV exposure today costs >10x that of a 193i exposure, which is why pitch-splitting multi-patterning 193i continues to dominate.

—E.K.

ASML Books Production EUV Orders

TSMC commits to two tools for delivery next year

Maybe, just maybe, ASML Holding N.V. (ASML) has made the near-impossible a reality by creating a cost-effective Extreme Ultra-Violet (EUV @ ~13.5nm wavelength) all-reflective lithographic tool. The company has announced that Taiwan Semiconductor Manufacturing Company Ltd. (TSMC) has ordered two NXE:3350B EUV systems for delivery in 2015 with the intention to use those systems in production. In addition, two NXE:3300B systems already delivered to TSMC will be upgraded to NXE:3350B performance. While costs and throughputs are conspicuously not-mentioned, this is still an important step for the industry.
Perhaps acquiring Cymer to get the source-technology in-house for tighter integration was important. Perhaps evolutionary improvements crept along by tough engineering rigor. Perhaps the industry got lucky. One way or the other, ASML has had the goal of delivering not just hardware but functional uptime/availability using very complex EUV technology, and now it seems to be on the cusp of making it happen. The company claims that current EUV tools are available 50% of the time, at unspecified source power levels.
At its Investor Day in London today, ASML outlined its expected opportunity to grow net sales to about EUR 10 billion and to triple earnings per share by 2020, an indication of the confidence the company has in its technology and employees. Much of the growth will be in Deep-UV immersion tools, and in so-called “Holistic Lithography” products to deliver advanced correction capabilities. An example of Holistic Litho is Source-Mask-Optimization (SMO) that can be used for triple-patterning of a 48nm minimum pitch metal layer using DUV immersion in a Litho-Etch-Litho-Etch-Litho-Etch (LELELE) flow, such that the Depth-of-Focus (DoF) can be increased from 70 to 86nm. Holistic EUV means that SMO can reduce the dose required to get 120nm DoF from 46 to 20 mJ/cm2 for a 45nm minimum pitch metal layer.
The presentations can be found at the company’s website.
— E. K.

Moore’s Law is Dead – (Part 2) When?

…economics of lithography slow scaling.

Moore’s Law had been on life support ever since the industry started needing Double-Patterning (DP) at 1/4-pitch of 193nm optical lithography. EUV lithography shows slow and steady progress in source and resist technologies, and ASML folks tell me that they now have a pellicle to protect the reflective masks, yet it remains in R&D. All other lithographic technologies under consideration—e-beam direct write, nano-imprint, directed self-assembly—can help with patterning certain layers for certain chips, but lack the broad applicability and economic advantages of 193nm.

At this year’s SPIE Advanced Lithography event, renowned lithographer and gentleman scientist Chris Mack led an extended toast (https://www.youtube.com/watch?v=IBrEx-FINEI) that ended with, “Moore’s Law is over, long live Moore’s Law.” While Wednesday, February 26, 2014 may seem like a rather arbitrary moment, we seem to have the informal consensus of the world’s leading lithographers.

The 4th blog in this series will discuss the “Why” of Moore’s Law continuing as a marketing term…with each company in the industry using the term as well as “More than Moore” to mean slightly different technology advances. Henceforth, “Moore’s Law” may mean that the next IC will be smaller, or faster, or cheaper…but we are past the era when new chips will be simultaneously smaller and faster and cheaper.

ScalingTrends_2003-2015_32nmThe adjacent figure from SEMI shows the rate of scaling since we hit 90nm half-pitch…the last time that the term “node” directly correlated to the lithographic half-pitch. The clear inflection-point at the “32nm node” (which was really 45nm half-pitch) was the moment that DP was needed for patterning critical layers. In a panel discussion at the 2014 imec Technology Forum in San Francisco during SEMICON/West, John Chen, vice president of technology and foundry management, NVIDIA clearly declared, “Double-patterning is a technological and economic discontinuity.”

I should note that, as the EUV developer for the world, ASML strongly feels that the technology will enable future cost-effective scaling.

Meanwhile, 193nm lithography currently provides the economic limits to scaling, so we can easily understand recent and future phases of the industry in terms of fractions of this wavelength:

½ of 193nm = 90nm half-pitch as the end of simple scaling,

¼ of 193nm = 45nm half-pitch (~32nm “node”) begins Double Patterning,

1/8 of 193nm = 22nm half-pitch begins Quadruple Patterning, and

1/16th of 193nm = 11nm half-pitch which would need Octuple Patterning.

Note that the half-pitch limits shown above are approximations, and the lithography community has been using every trick in the book to lower the resolution limit of 193nm lithography. Water immersion for higher-NA, ‘inverse lithography’ to optimize phase-shifting masks, and off-axis illumination have all been deployed to allow 45nm half-pitch patterning.

Quartz lenses become opaque below 193nm, and thereby limit use of any lower wavelengths. Thus, 193nm has become an economic limit on affordable IC production, just as 1234 km/h has been proven as the economic limit on commercial aircraft speed. The “Concorde analogy” explains that physical world constraints combine with economics to create real limits on exponential progress.

Since the air-travel industry hit the economic limit of the speed-of-sound, air-travel innovation has continued but not in raw speed. Quiet airplane cabins and huge improvement in in-flight entertainment and food, when combined with refreshments and entertainment in airports improves the overall experience. Wireless computer networks on airplanes and in airports allow travelers with mobile computers (including smart-phones and tablets) to work and play throughout the travel day.

Innovation in the semiconductor industry will certainly continue after we can no longer afford to shrink digital switches. We already have billions of logic elements with which to form circuitry, and we can combine logic with embedded-memory and with sensors and actuators into 3D nanoscale systems. We can do this today. The truth is, when we run out of room at the 2D bottom we have plenty of room to play at the 3D top…remembering that the cost of chip stacking is set by 2D processing economics.

Past post in the blog series:

Moore’s Law is Dead – (Part 1) What defines the end.

Imminent posts in this blog series will discuss:

Moore’s Law is Dead – (Part 3) Where we reach atomic limits,

Moore’s Law is Dead – (Part 4) Why we say long live “Moore’s Law”!

E.K.