Category Archives: Semicon West

July 11, 2011 — This week at SEMICON West in San Francisco, imec is demonstrating a viable implant-free quantum-well (IF-QW) pFETs with an embedded silicon-germanium (SiGe) source/drain and 3D integration of a commercial DRAM chip on top of a logic IC.

Imec SiGe IF-QW pFET a "viable option" for 16nm node

Imec has fabricated implant-free quantum-well (IF-QW) pFETs with an embedded silicon-germanium (SiGe) source/drain. Short channel control and logic performance results are strong, benchmarked against competing technologies (SOI nFET, SiGe-FET). Drain-induced barrier lowering is ~110mV/V at 35nm-LG and 1mA/

July 11, 2011 — Nordson ASYMTEK introduced the MH-910W + Spectrum S-920N dispenser automated workcell for film-frame wafer-level packaging applications, with same-side loader/unloader integrated with the Spectrum S-920N dispenser. It can be used to cap MEMS and image sensors, coat wafers for imaging devices such as digital light processing (DLP), jet thin coatings to improve laser dicing, and more.

The tool is designed for 150mm film-frame wafer processing, and supports various sizes. Integrating the dispensing and handling operation into a single workcell improves throughput and simplifies the packaging line, according to the company. Advanced process controls prevent errors during transport and dispense. Both the MH-910W film-frame loader/unloader and S-920N dispensing system are fully enclosed with interlocked doors and windows. For service, access panels are removable and the system includes built-in software maintenance tools.

Handling features include programmable transport speed, multiple sensors, soft-touch grippers, and active pinch wheels. Film-frame wafers are pulled from one cassette and returned to a second cassette after dispense. A frame sensor identifies the next available wafer. Sensors also ensure cassette slots are empty before returning processed wafers to the second cassette.

The workcell has a 1225 x 1321mm footprint and meets SEMI-S2, SEMI-S8, SMEMA, and CE standards and certifications.

The new workcell will be on display at the Nordson ASYMTEK booth #6071 at SEMICON West, July 12-14, Moscone Center, San Francisco, CA.

Nordson ASYMTEK provides automated fluid dispensing, conformal coating, and jetting technologies. For more information, visit www.nordsonasymtek.com.

Nordson Corporation (Nasdaq: NDSN) makes precision dispensing equipment, systems for testing and inspection of electronic components, and UV curing and surface treatment tools. Visit Nordson on the web at www.nordson.com.

Subscribe to our MEMS Direct newsletter

by David K. Lam, chairman, Multibeam Corp.

This guest column summarizes an invited talk by industry veteran, David K. Lam, who will be presenting on complementary e-beam lithography at the Advanced Lithography TechXPOT on Wednesday July 13 at noon. Dr. Lam wrote this column exclusively for Solid State Technology.

July 11, 2011 – For decades, optical lithography has driven the semiconductor industry’s growth by patterning ever-smaller IC features onto silicon wafers. This has enabled the packing of more and more transistors into each chip, increasing its performance and reducing its cost, and expanding market opportunities.

However, optical lithography, based on the 193nm ArF technology to transfer design patterns to wafer, is reaching its limits in resolution. The layers that are extremely costly to pattern with optical lithography ("critical layers") are increasing.

Today, to make logic devices manufacturable at advanced nodes, leading fabs are adopting 1-D gridded layouts, resulting in unidirectional lines with fixed pitch. The 1-D layout approach was first applied to the poly (gate) layer and is now expanding to metal layers. Figure 1 shows that Intel adopted 1-D layout in 2007 when it transitioned to the 45nm technology node.

Figure 1. 1-D gridded layout is the way of the future. (Reference: Kuhn, K., "Variation in 45nm and Implications for 32nm and Beyond" 2009 2nd; International CMOS Variability Conference (2009); Reference: Lammers, D., "Intel Going Vertical for 22nm Transistors" (2011).)

The pitch can then be halved and the line density doubled using well-established techniques known as "pitch division." Pitch division employs CVD and etch equipment – processes and materials commonly used in CMOS fabs. The process can be repeated, without additional use of optical lithography, to quarter the pitch and quadruple line density, as reported by Yaegashi of TEL and shown in Figure 2.


Figure 2. 193i with pitch division results in tighter densities. (Reference: Yaegashi, H., "Important challenge for the extension of Spacer DP process" 2010 International Symposium on Lithography Extensions (2010).)

Following line formation is line "cutting," i.e., breaking line continuity, in the fabrication of the device. Line cuts, which are extremely challenging to pattern with optical lithography because of resolution limits, are a critical layer.

In 2010, Yan Borodovsky of Intel showed a line-cut simulation for a 1-D layout pattern at 20nm half-pitch. Cutting the lines with optical lithography would require quadruple patterning and four masks, as shown in Figure 3. Borodovsky proposes the use of another lithography technology such as EUV with one EUV mask, or e-beam lithography (EBL) with no masks at all, to cut the lines, thus complementing optical lithography. He calls this approach "complementary lithography."

Figure 3. Yan Borodovsky of Intel proposes "complementary lithography." (Reference: Borodovsky, Y., "MPProcessing for MPProcessors", Maskless Lithography and Multibeam Mask Writer Workshop (2010).)

Complementary lithography draws on the strengths of two lithography technologies, working hand-in-hand, to lower the cost of patterning critical layers in logic devices at 20nm half-pitch and beyond, in high-volume manufacturing (HVM).

The most cost-effective way to implement complementary lithography is to combine optical lithography with EBL. The process of transferring IC designs to the wafer entails the following: optical lithography to print unidirectional lines in a pre-defined pitch, pitch division techniques to increase line density, and EBL to cut the lines. EBL is also used to pattern other critical layers, notably contact and via holes. Optical lithography patterns all other layers.

When used to complement optical lithography, EBL is called CEBL, or complementary EBL. CEBL is not next-generation lithography (NGL). Rather, it is limited to cutting lines and holes. By not attempting to pattern all layers, CEBL plays a complementary but crucial role in meeting the industry’s patterning needs at advanced nodes. CEBL also extends the use of current optical lithography technology, tools and infrastructure.

(In the podcast below, Lam further summarizes how complementary e-beam lithography (CEBL), as part of the overall solution "complementary lithography," can overcome the resolution limitations of 193i technology.)

Multibeam’s CEBL technology is optimized for cutting lines and holes to achieve high throughput and low cost of ownership. During my presentation at SEMICON West, I will cover Multibeam’s all-electrostatic approach, multi-column architecture, vector scanning of the shaped beam, and in-process local alignment with column-SEM. Recent results in beam profile simulation and optimum process window will also be presented.

The infrastructure to support complementary lithography exists and is available today. This includes 1-D gridded layout IP and software, optical lithography technology and equipment, optical mask writers and mask shops, pitch division equipment, process and materials, e-beam resists, e-beam wafer defect inspection, EDA tools and yield management software. Some mask-writing EDA and yield software are adapted for CEBL and CEBL tool is under development. This eco-system offers a complete solution that scales far beyond the 20nm node.


David K. Lam received his PhD in engineering from MIT, is chairman of Multibeam and is probably best known for Lam Research (NSDQ: LRCX), which he founded in 1980. Lam uses his experience and expertise to provide guidance to emerging technology enterprises, including Microprobe, Xradia, and Multibeam, 4008 Burton Drive, Santa Clara, CA USA 95054, www.multibeam.com.

July 11, 2011 – PRNewswire — EV Group (EVG), MEMS, nanotechnology and semiconductor manufacturing tool supplier, released a wafer bonding system for 450mm silicon-on-insulator (SOI) wafers: EVG850SOI/450-mm. The automated tool runs at production line speed and comprises a cleaning module and pre-bonding module.

The EVG850SOI/450-mm cleaning module cleans and pre-conditions wafers before wafer bonding. In the pre-bonding module, the two silicon wafers are joined together either in a vacuum or in an atmospheric chamber. 450mm load ports and front opening unified pods (FOUPs) complete the tool.

Most of the particle and metal ion contamination tests will be performed on 300mm wafers due to the lack of 450mm metrology systems.

SOI wafer provider Soitec will install, test and qualify the first EVG850SOI/450-mm system at its Grenoble, France, headquarters in Fall 2011. Soitec’s Smart Cut layer transfer technology is "one of the most important SOI fabrication processes based on wafer bonding," said Paul Lindner, EV Group Executive Technology Director.

Tool development was done in cooperation with the European Semiconductor Equipment and Materials Initiative. In this interview, Lindner discusses the challenges of designing for 450mm as well as SOI: cleanliness issues and the impact of the much larger mechanical components that come into contact with the wafers.

"The bonding step acts like a magnifying glass for particles, so anything trapped at the bond interface is a problem and needs to be eliminated," explained Linder. "So in the tool, particle control is the biggest challenge for SOI wafers."

EVG points out that SOI will enable better power/performance for sub-22nm node CMOS and 3DICs compared to similar-geometry bulk CMOS. Wafer bonding allows chip makers to acheive high-quality, single-crystal Si films on one insulating layer, making a SOI substrate. Though SOI has not yet been adopted by all the major players, EVG’s customers say that they expect that sometime below 22nm, or maybe even at 16nm, the use of an engineered substrate (not necessarily SOI) will be much more attractive. Looking ahead to the insertion point for EVG’s first 450mm wafer bonding system, Linder’s forecast — probably at 16nm — occurs about the time when engineered substrates (whether SOI, or GOI, or some other layer-transferred substrate) become more heavily adopted is as follows: 1) installation of the first tool in 2011, 2) obtain the first bonded wafers in 2011, 3) enter pilot line production by 2014, and 4) achieve production at end users by 2016.  

The tool can be used to fab 300mm wafers as facilities transition from 300 to 450mm.

The tool is EVG’s first for 450mm wafers, and will serve as a blueprint for future systems, both for wafer bonding and lithography, EVG reports. An extension of the system with additional modules is planned as a further step to increase wafer throughput.

Learn more about the new tool at SEMICON West booth 1131 this week, Moscone Center in San Francisco, CA.

EVG’s Paul Lindner will be part of the MCA’s BrightSpots Forum on 450mm, Monday at 6:00PM during SEMICON West. To register for online access to the live panel event, click here: https://www2.gotomeeting.com/register/378232715.

EV Group (EVG) makes wafer-processing solutions for semiconductor, MEMS and nanotechnology applications. More information is available at www.EVGroup.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

July 11, 2011 — Jan Vardaman, president and founder of TechSearch International, summarizes highlights from her SEMICON West presentation on through silicon vias (TSV) (Tuesday, July 12, 2:00-4:30PM,  Heterogeneous Integration session). "We’re finally moving from PowerPoint engineering to real engineering [with respect to TSVs,]" said Vardaman, though progress is slow and will require patience. Wafer bond/debond and wafer thinning/wafer handling are gaining the most attention, she says. And supply chain logistics responsibilities (foundries vs. outsourced semiconductor assembly and test [OSAT]) need to be worked out. Metrology tools are needed to better investigate processes and reliability and it would be nice to see more data. For more on the topic of metrology, SEMATECH is holding a session on 3D metrology at the Marriott Marquis, San Francisco, July 13, at noon. While Vardaman would like to hear more about reliability, especially at the board level, she doesn

July 8, 2011 – Marketwire — Verigy, an Advantest Group company (TSE: 6857) (NYSE: ATE), launched scalable, cost-efficient testers for advanced semiconductors, such as 3D device architectures and 28nm and below technology nodes: V93000 Smart Scale Generation. The test systems and pin cards will debut at SEMICON West 2011, July 12-14 at the Moscone Convention Center in San Francisco, CA.

Compatible with Verigy’s V93000 platform, the Smart Scale testers boast advanced per-pin capabilities. Each pin can run with its own clock domain, matching the exact data rate requirements of the device under test. With power supply modulation, jitter injection and protocol communication, system-like-stress tests can be carried out at the ATE level.

The Smart Scale tester classes — A, C, S and L — each have a different test head size, enabling Verigy to provide the most efficient solution for each user’s specific applications. Each class is compatible with the others, allowing devices to move from one test environment to another when production volumes change.

Along with its V93000 Smart Scale testers, Verigy also is launching three new digital channel cards:
The new Pin Scale 1600 digital card and Pin Scale 1600-ME (memory emulation) card offer data rates ranging from DC to 1.6 gigabits per second (Gbps) and double or quadruple the densities of previous pin cards. The small-form-factor cards incorporate Verigy’s clock-domain-per-pin, protocol-engine-per-pin, PRBS per pin and SmartLoop testing capabilities for symmetrical high-speed interfaces. In addition, they provide precision DC capabilities and can perform asynchronous testing for high multi-site efficiency and concurrent testing.

Verigy’s new Pin Scale 9G card aims for affordable at-speed test with data rates of up to 8 Gbps with the same per-pin versatility as the Pin Scale 1600. The Pin Scale 9G card supports bi-directional capabilities on all pins and single-ended and differential modes of operation. It also can perform both pattern- and pattern-less test to address the vast majority of testing needs, from parallel I/O testing for design verification to serial physical layer (PHY) testing in high-volume manufacturing.

Verigy will launch the products at SEMICON West booth #6575 in the North Hall of the Moscone Center, within the Advanced Technologies Manufacturing TechZONE section.

Verigy provides advanced semiconductor test systems and products. Additional information about Verigy, an Advantest Group company, can be found at www.verigy.com. Information about Advantest can be found at www.advantest.com.

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

July 8, 2011 — SET – Smart Equipment Technology – will introduce the FC300R robotic device bonding platform at SEMICON West, July 12-14 in San Francisco, CA. The FC300R performs chip-to-substrate bonding, chip-to-wafer assembly, and chip-to-chip stacking for flip chip, through silicon via (TSV), and other advanced packaging processes.

The FC300R uses a loading robot added to the base of an FC300 bonding tool to increase component handling capabilities with substrates and waffle packs/GELPAKs, which the machine can store in large quantities. The tool accommodates components from 150 x 150

July 7, 2011 – BUSINESS WIRE — Nordson MARCH’s FlexTRAK-WF low-cost, cassette-based automated plasma treatment system handles five wafer sizes with minimal hardware change-over. An integrated robot and aligner automate wafer and other flat substrate handling at up to 50 wafers per hour. Plasma uniformity suits ashing, etching, and descum for wafer level packaging and general wafer processing applications.

Two separate wafer load stations enable production continuity and minimal idle time. The universal cassette stage and multi-size aligner can take on 3"-8" wafers. Integrated wafer recognition technology detects and adjusts for potential wafer protrusion, double-stacking, cross-slotting, and other issues.

See Nordson MARCH demonstrate the FlexTRAK-WF in booth #6071 at SEMICON West, Moscone Center, San Francisco, CA, July 12-14, 2011.

Nordson MARCH makes plasma processing technology for the semiconductor, printed circuit board (PCB), microelectronics, and medical & life science device manufacturing industries. Visit the Nordson MARCH website for more details: http://www.nordsonmarch.com.

Nordson Corporation (Nasdaq: NDSN) produces precision dispensing equipment, testing and inspection systems for electronic components, and technology-based systems for UV curing and surface treatment processes. Visit Nordson on the web at www.nordson.com

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

July 6, 2011 — Avantor Performance Materials will launch the first in its new J.T.Baker SLCT Series of selective etch surface treatment chemistries, SLCT 128 sigma etchant, at SEMICON West 2011.

J.T.Baker SLCT 128 sigma etchant simultaneously cleans and selectively etches the wafer substrate in poly-gate architectures, creating a cavity for strain engineered gate structures. No pre-implant operations are required to tailor the initial etching. Highly controlled etch is paired with post-etch residue removal and pre-cleaning. The resultant clear, well-defined faceted sigma shape for epitaxy suits advanced nodes, and users may cut out an additional rinse after the process. Plasma pre-etching can be eliminated or reduced in some operations.

The self-cleaning etch chemistry can be used in front end of line (FEOL) wafer fab on strained silicon channels where silicon germanium (SiGe) and silicon nitride (SiN) induce strain on the silicon lattice under the gate region; FinFET structures where the semiconductor material is vertical rather than horizontal; and other advanced wafer processes.

The etchant was created through a joint development agreement between Avantor and SACHEM Inc., which covers specialty surface preparation and removal chemistries for thin-film wafer stacks. Avantor applied photoresist/residue removal technologies, while SACHEM engineered bulk etchants and surface prep aspects. Under the agreements, the companies established a global applications team supported by Avantor’s advanced wafer processing equipment.

Combining the companies’ core strengths in selective etch products enables better semiconductor manufacturing, said John Bubel, director of marketing, electronic materials, at Avantor, adding that the partnership smartly boosts investment in research and development. Tom Mooney, president of SACHEM Asia, added that the partnership is targeting emerging sub-22nm nodes, cutting down on process materials consumption and steps.

Avantor and SACHEM are developing other selective etch and targeted layer removal solutions with leading semiconductor manufacturers in Asia and the Americas.

Avantor plans to expand the SLCT Series with additional selective etch products in the near future, targeting selectivity to metal oxides and integration stacks common in advanced memory chip manufacturing.

To learn more about SLCT 128 sigma etchant and other selective etch products in development, visit Avantor booth 1607 at SEMICON West, July 12-14 at the Moscone Center in San Francisco, CA.

Avantor Performance Materials (formerly Mallinckrodt Baker, Inc.) manufactures and markets high-performance chemistries and materials. Avantor makes products used in the manufacturing of semiconductors, photovoltaic cells and flat panel displays (FPD). For additional information, visit www.avantormaterials.com

SACHEM Inc. delivers highly pure, precise and innovative chemical solutions designed to solve the most demanding and challenging applications. Learn more at www.sacheminc.com

Subscribe to Solid State Technology/Advanced Packaging.

Follow Solid State Technology on Twitter.com via editors Pete Singer, twitter.com/PetesTweetsPW and Debra Vogler, twitter.com/dvogler_PV_semi.

Or join our Facebook group

June 30, 2011 — SEMICON West, held every July in San Francisco, is a major tradeshow and conference for semiconductor manufacturing professionals. Semiconductor packaging has become a major focus in recent years, and this year, BEOL attendees can go to the head of the line for ATE Vision 2020, 3D IC standards meetings, a keynote, and multiple sessions dedicated to packaging technologies. Here’s your guide to attending SEMICON West on a packaging track.

SEMI highlights a couple sessions that attendees won’t want to miss (schedule details below): 3D in the Deep Submicron Era, Contemporary Packaging: Challenges and Solutions for 40nm and Beyond, and Heterogeneous Integration with MEMS and Sensors. The 3D session will look at dramatic developments in IC packaging — through silicon vias (TSVs) and stacked dies, and silicon interposer (2.5D) technology based upon TSVs. The session will cover design standards, test platforms, and roadmaps that link the strategies of memory, MPU, GPU and other chip manufacturers including foundries and packaging firms.

While these are exciting developments, a majority of today