Author Archives: sdavis

IFTLE 304 Renesas Acquires Intersil; Intel’s Knights Landing: An Update

By Dr. Phil Garrou, Contributing Editor

Renesas Acquires Intersil

Consolidation continues with the latest deal announcement of Renesas buying Intersil for $3.2B beating out Maxim who was known to be seeking a similar deal [link]. Renesas reportedly aims to complete the deal by June 2017. The acquisition will need to win approval from the US Committee on Foreign Investment, which scrutinizes deals for potential national security issues.

Renesas was created in 2003 from the chip-making units of NEC, Mitsubishi Electric and Hitachi . It is the world’s largest auto semiconductor maker in 2014,[ one of the world’s largest makers of semiconductor systems for mobile phones, the world’s largest maker of microcontrollers 9 controls nearly 40 percent of the global market for microcontroller chips used in automobiles), and the second largest maker of application processors. Its automotive and industrial businesses accounted for 70% of its revenue in 2015. The combination with Intersil is expected to result in better products for in-vehicle entertainment, battery management and safety systems [link].

Intersil, headquartered in Milpitis CA, formed in 1999 when Harris Corp spun off its semiconductor business. In 2014 it had ~1000 employees and revenue of $562MM. It is known for power management ICs and precision analog technology for applications in industrial, infrastructure, mobile, automotive and aerospace. The company supplies power IC solutions including battery management, computing power, display power, regulators a d controllers and power modules; as well as precision analog components such as amplifiers and buffers, proximity and light sensors, data converters, timing products, optoelectronics and interface products. They are a major supplier to the military and aerospace industries

Intel Knights Landing

We first discussed Intel’s Knights Landing in IFTLE 198 [link].

The Knights Landing (KNL) chip is the first commercial processor with very high bandwidth MCDRAM memory (Intel’s name for Microns HMC memory cubes) right next to the CPU in the same package, and the first integrated high speed main memory on any class of Xeon processor. Intel is now apparently able to ship Knights Landing processors in volume with the announcement that they will be shipping several variants of the Knights Landing X86 processor starting September 2016.

Intel disclosed he memory hierarchy of Knights Landing last year, explaining how the mix of local MCDRAM on the Knights Landing package and DDR4 memory that is on the motherboard (but controlled from on-chip memory controllers) like regular servers can be used in different ways, depending on the workload.

Intel 2

The performance jump from the Knights Corner coprocessors to the Knights Landing processors ranges from somewhere between 2.6X and 2.9X with the price only rising by 1.4X to 1.5X.

Intel expects to ship more than 100,000 Xeon Phi units this year into the HPC market. More than 30 system makers are reportedly going to use these Knights Landing processors [link].

Intel is clearly taking as little more time to ramp up the yields on the 14 nanometer processes used to etch the latest Xeon Phi chips, and given that at more than 8 billion transistors per die, it is also the largest chip that Intel has ever made.

intel 1

300mm KNL Wafer

Hynix Building up CMOS Image Sensor Capability

Our discussions in IFTLE 303 on the status of CMOS Image sensor technology had little to say about SK Hynix.

Recent reports from Korea indicate that SK Hynix is going to mass-produce their 13 MM pixel CIS at their 300mm factory M10 in Icheon Korea, in 2017. Because of the size of this CIS, it is believed that SK Hynix could not manufacture at a profit at 200mm. [link]

In October of 2007 Hynix entered the CIS business. In 2008 Hynix acquired Siliconfile, a CIS fabless manufacturing company. Until now, SK Hynix had been supplying CIS with < 5MM pixels.To increase profitability, it has been attempting to increase the percentage of 8MM pixel products to Samsung, Huawei, LG, and other Smartphone manufacturers for their low and medium priced Smartphones.

According to TSR (Techno Systems Res) total sales from global CIS markets in 2015 were about $9.2B. SK Hynix market share of 3.7% stands 6th behind Sony (44.8%), Samsung Electronics (16.5%), OmniVision (13.2%) ON Semi (Aptina Imaging, 6.1%) and Canon (5.3%).

Reader Input on Lester the Lightbulb ( i.e. the Govt. forcing Incandescent technology out of the market )

lights

After reading IFTLE 300 a reader sent in the following picture he took at a Chinese restaurant in Portland Maine.

The lighting fixture over one of the tables seemed rather dim and on further investigation he found two burned out compact florescents (CFL) and an incandescent burning brightly. Certainly, we do not know when any of the bulbs were inserted into the fixture, but after reading IFTLE 300 the reader said this made him laugh out loud or as the younger folks say LOL.

For all the latest on Advanced Packaging, stay linked to IFTLE…

IFTLE 303 Sony Introduces Ziptronix DBI Technology in Samsung Galaxy S7

By Dr. Phil Garrou, Contributing Editor

It has been awhile since we last checked in on the CMOS Image sensor (CIS) community to see what the latest advances in packaging were [see IFLE 172 [link], 244 [link], 272 [link] and 278 [link].

For those that need to catch up on the technology roadmap, Toshiba was the first to commercially implement CMOS image sensors with backside TSV last technologies in 2007 ( this was covered thoroughly by my predecessor blog PFTLE which was unfortunately scrubbed from the internet when “Semiconductor International “ went out of business. This technology is well explained by CEA Leti [link].

Many of us stated in 2007 that further advances could be obtained by removing the CMOS circuitry to a separate layer and forming a true 3D chip stack, but the technology implementation had to wait while the industry first converted to back side imaging technology.

“Backside Imaging” – BSI

With a conventional front-illumination structure, the metal wiring above the sensor’s photo-diodes impede photon gathering. A back-illuminated structure (figure below) increases the amount of light that enters each pixel due to the lack of obstacles such as metal wiring and transistors that have been moved to the reverse of the silicon substrate [link].

Back side illumination

 

Back Side Imaging – stacked

The next generation, as expected, combined both BSI and stacking. Conventional CMOS image sensor technology creates the pixel function and analog logic circuitry on the same chip. The motivations for stacked chip CIS include: optimization of each function in the stack, adding functionality to the stack and decreasing form factor.

Since the pixel section and circuit section are formed as independent chips, each function can be separately optimized, enabling the pixel section to deliver higher image quality while the circuit section can be specialized for higher functionality. In addition, faster signal processing and lower power consumption can also be achieved through the use of leading process for the chip containing the circuits.[link]

stacking

 

So, where do things stand commercially?

The 2014 image sensor market was estimated by Techno Systems Research as shown below.

market

 

Sony

Sony is clearly leading in commercializing the latest CIS packaging technologies.

In 2012 Sony announced the Exmor RS, Stacked CMOS back-side illuminated sensor, where the supporting circuitry is moved below the active pixel section, giving ~ 30% improvement to light capturing capability [link 1] [link 2].

The first generation Sony BSI-Stacked chips employed via-last TSVs to connect pads from the Sony-fabricated, 90 nm generation CIS die to landing pads on a Sony-fabricated, 65 nm generation ISP. The die stack was partitioned such that most of the functionality of a conventional system-on-chip (SoC) CIS was implemented on the ISP die; the CIS die retained the active pixel array, final stage of the row drivers, and comparator portion of the column-parallel ADCs

Some of the biggest names in tech use Sony sensors: The iPhone 6 camera has a Sony sensor, as does the Samsung Galaxy S6, Motorola phones, Nikon DSLRs, and Olympus mirrorless cameras. [link]

Earlier in 2016 it was reported that there are two versions of the Samsung Galaxy S7. One has a Samsung stacked ISOCELL sensor (S5K2L1) and the other a special Sony stacked sensor (IMX260) [link].

The recent Chipworks teardown of the Samsung Galaxy S7 with a Sony IMX 260 revealed BSI stacked technology [link 1]. Furthermore it revealed the first reported use of the Ziptronix (now Tessera) Direct Bond interconnect (DBI) technology rather than prior oxide –oxide bonding with subsequent TSVs connecting through the oxide interface [link 2]. This BSI-stacked DBI technology is possibly the next step in the CIS roadmap.

The Chipworks cross-section (see below) reveals a 5 metal (Cu) CMOS image sensor (CIS) die and a 7 metal (6 Cu + 1 Al) image signal processor (ISP) die.  The Cu-Cu vias are 3.0 µm wide and have a 14 µm pitch in the peripheral regions.  In the active pixel array they are also 3.0 µm wide, but have a pitch of 6.0 µm. Note that in the images we’ve included we do see connections from the Cu-Cu via pads to both CIS and ISP landing pads.

Sony DBI

 

Omnivision

Omnivision was the first to sample BSI in 2007 but costs were too high and adoption was thus very low.

In 2015 Omnivision announced their OV 16880 a 16-megapixel image sensor built on OmniVision’s PureCel-S™ stacked die technology [link].

Samsung

Samsung’s first entrant into stacked technology with TSV was also at 16MP with the Samsung S5K3P3SX in late 2014. The CIS die is face-to-face bonded to a 65nm Samsung image signal processor die and connected with W based TSV. The CIS die is fabricated on a 65nm CMOS process with 5 levels of interconnect as shown below, courtesy Chipworks.

Samsung

ON Semi (Aptina)

In early 2015 On Semiconductor (Aptina) introduced its first stacked CMOS sensor the AR 1335 with 1.1µm pixels. It resulted in a smaller die footprint, higher pixel performance and better power consumption compared to their traditional monolithic non-stacked designs. They announced that it would be introduced in commercial products in late 2015. [link]

Olympus

In late 215 Olympus announced the OL 20150702-1 a new 3D stacked 16MP CMOS image sensor [link]

For all the latest on Advanced IC Packaging, stay linked to IFTLE…

IFTLE 302 Amkor Denies Takeover Rumors: BCB Team Wins Amer. Chem. Soc. Award; IMEC’s Beyne Reviews Via-Middle TSV Technology

By Dr. Phil Garrou, Contributing Editor

Amkor Denying Takeover Rumors

Amkor finds itself denying rumors published in Digitimes that there is a takeover bid from Chinas Nantong Fujitsu [link]. If true, Nantong Fujitsu would become the largest OSAT in China as well as the second largest in the world, trailing only ASE.

Taiwan’s Central News Agency has also reported that there is speculation that Nantong wants to buy Amkor [link]

Nantong Fujitsu Microelectronics Co., provides IC assembling and testing services to the semiconductor industry in China. The company offers DIP, SOP; BGS, FC and WLP for automotive devices, memory products, analog ICs, microcontrollers, wireless/RF and analog devices; portable products such as cell phones, data storage systems, notebook computers, and pagers.

Nantong Fujitsu acquired an 85% share of AMDs Penang Malasia and Suzhou China packaging facilities for $371 MM earlier in 2016. [link]

Although Amkor is denying the rumor, such an offer would fit with he previously discussed China 2020 plan to become a major player in packaging [ see IFTLE 296 “…China the Wild Card…” ]

BCB Team Wins American Chemical Society (ACS) Award

The American Chemical Society (ACS) has just announced that a team of current and ex-employees of Dow Chemical have been awarded the ACS award for team innovation for their development and commercialization of Benzocyclobutene (BCB) dielectric [link].

The team, which consisted of Phil Garrou (yours truly), Bob DeVries, Carol Mohler, Eric Moyer and Ted Stokich, worked together to define and scale up a commercial product from a Central Research curiosity.

The award is for work done some 25 years ago, when the Dow team, partially under a DARPA contract, developed a photosensitive BCB formulation which was incorporated into the bumping and wafer level packaging processes developed by FCT (Flip Chip Technologies – Ultra CSP) ) and Unitive (Extreme CSP) and later licensed and practiced by most of the major Taiwan and Korean OSAT houses. At the time, current generations of PI failed to produce manufacturable processes and in the early 2000’s, before new generations of PI and PBO were developed to meet these needs, BCB based components were being used in nearly every cell phone produced in the world.

BCB also revolutionized the MANTECH defense industry by allowing Triquint, Teledyne, NGAS, Raytheon, MA-COM and many others develop multilayer interconnect for their GaAs, GaN and InP processes [link].

Triquint

For those that are interested the history of BCB was summarized in the article “Development and Commercialization of BCB for Microelectronic Applications” by Garrou et. al., in The World of Electronic Packaging and System Integration , B. Michel and R. Aschenbrenner Eds., ISBN 3-932434-76-5. 

IMEC

In the July issue of IEEE Trans. CPMT (p. 983) IMEC’s Eric Beyne reviewed via middle TSV technology development [link].

This paper discusses the key technological aspects of via-middle Cu TSVs, The 3-D integration concept and the wafer front and backside process technology for a 5μm x 50μm Si TSV. A very nice review of TSV formation, exposure and the impact of TSVs on devices.

The via-middle process flow consists of two main modules: 1) the via-middle process between FEOL and BEOL processing and 2) the backside thinning and via reveal process.

Via Middle Process

The via-middle TSV process consists of integrating the TSV module between the end of the FEOL process (typically the formation of W contact metal to devices) and the first damascene Cu interconnect layers. The thermal limitation for processing is, therefore, in the range of 420 –450°C.

The IMEC baseline TSV is a 5-μm diameter, 50-μm deep Si etched TSV. The oxide liner is deposited using a TEOS/O3 pulsed CVD process with a target thickness of 200 nm at the TSV bottom.

As a Cu diffusion barrier layer, 5nm PVD Ta is used. As PVD is highly non conformal, this requires a 120–140nm thick Ta layer on the frontside of the wafer. Cu PVD is used as a Cu seed plating layer. To achieve a sufficiently thick Cu seed at the bottom of the via, an 800–1000nm thick Cu PVD deposit is required on the wafer surface area. This seed layer allows for Cu electrochemical deposition (ECD) and voidless filling of the vias.

After filling a 5 × 50μm via, the thickness of Cu deposited on the wafer surface is 3μm. The actual copper diameter is ∼4.9μm at the top and 4.3μm at the bottom of the TSV. To stabilize the Cu in the TSV and remove impurities after plating, a high temperature anneal is performed before Cu CMP resulting in Cu grain growth and a stable Cu microstructure. Finally, CMP is used to remove the Cu overburden, the Ta barrier layer, and the oxide liner.

IMEC process flow

The main challenge for scaled TSVs is, however, the deposition of a Cu diffusion barrier layer and a Cu electroplating seed layer.

Fully conformal plasma enhanced ALD oxides offer a clear advantage when scaling the TSV diameter. In addition, these dielectrics reduce the thickness of the oxide deposited on the wafer surface by 50%, greatly reducing the oxide liner CMP process time.

As the aspect ratio of the TSVs increases with diameter scaling, the use of PVD for barrier/seed deposition becomes more difficult. ALD deposited barriers are shown to be highly effective for scaled TSV since they can reduce the overall TSV process costs by reducing the deposited layer thickness on the wafer surface by ~10× and, therefore, reduce the CMP time.

Wafer Backside Flow

The Si wafer has to be thinned to enable backside contact to the embedded via middle TSV structures. This requires temporary bonding of the TSV wafer on a carrier substrate, wafer thinning, and a TSV via-reveal process.

Wafer thinning is performed by mechanical wafer grinding. Mechanical thinning results in backside silicon damage, stress, cracks, and dislocations therefore, after grinding and wafer cleaning some of

the backside Si is further thinned using either wet or dry methods. After slightly recessing the Si surface with respect to the backside revealed TSV, the oxide liner is still present on the top of the exposed TSVs. In order to avoid possible backside Cu contamination on the thin Si wafer, a backside SiO/SiN passivation layer is deposited. This has to be performed at relatively low temperatures (<200 °C) as the thin wafer is supported by a temporary carrier using a polymeric glue material.

A backside CMP process step exposes the Cu of the TSVs for further backside processing (e.g., metal redistribution, solder microbumps, and so on).

For all the latest in Advanced Packaging, stay linked to IFTLE…

 

IFTLE 301 Are Silicon Circuit Boards in our Future?

By Dr. Phil Garrou, Contributing Editor

In IFTLE 300, we commented that the imminent end to scaling will force changes in how we approach the development of new integrated circuits and systems.

IyerSubramanian Iyer, ex IBM fellow, retired from IBM when the chip business was sold off to Global Foundries. He is now the Distinguished Chancellor’s Professor in the EE Dept. at UCLA and Director of the Center of Heterogeneous Integration and Performance Scaling (or CHIPS for short). The CHIPS mission is to interpret and implement Moore’s Law to include all aspects of heterogeneous systems and develop architectures, methodologies, designs, components, materials and manufacturable integration schemes that will shrink system footprint and improve power and performance. Let’s look at his concept of for where the industry should be going.

In the July 2016 issue of IEEE Trans on CPMT, Iyer put pen to paper ( or should we say “fingers to keyboard”) and has laid out the master plan for CHIPS in his article “Heterogeneous Integration for Performance and Scaling.”

Iyer contends that Moore’s law has so far relied on the aggressive scaling of CMOS silicon features. This in turn resulted in a dynamic system-on-chip (SoC) approach, where progressively more function has been integrated on a single die. While scaling on chip has increased >1000X, the integration of multiple dies on packages and boards has scaled by a factor of 3 – 5X. The current slowing of semiconductor scaling [discussed in IFTLE 300] will bring a focus on heterogeneous integration and system-level scaling. This transformation is already under way with 3-D stacking of dies and will evolve to make heterogeneous integration the backbone of sustaining Moore’s law in the years ahead.

While the SoC approach has moved us forwards, a single chip does not make a system. In order to build a system, multiple chips such as processors, memory chips, field programmable gate arrays (FPGAs), transceivers, power regulators, and so on need to be interconnected. Traditionally, this has been done using a printed circuit board (PCB).

Chips are typically packaged before being mounted on the board. While it is true that the package connects the chip to the rest of the system, it does so very inefficiently. For instance, contacted gate pitches in the 14-nm node are about 40 nm, through the hierarchical wiring system, we increase that pitch up step-by-step until, at the upper most wiring level, it is a few micrometers. The C4 bumps then increase this pitch to about 150 μm. The BGA connections to the board take this further to 400 – 600 μm. In essence, to connect two chips the interconnections of chip 1 must fan out to a PCB board pitch and then fan back in to chip 2 pitch , thus causing the inefficiency . Silicon has scaled by over a factor of 1000 in the last 50 years, while packages and boards have scaled by at most a factor of 5.

Iyer contends that with increasing demands on the BW between the chips and the inability to increase the number of physical connections between the chips, serial links need to operate individually at higher and higher data rates. These higher rates mean higher frequency signals carried by the traces on the board and significantly larger noise levels and cross talk between adjacent channels. Consequences of this include:

1) The power to transmit higher frequency signals through SerDes goes up exponentially with data rate.

2) The SerDes circuits themselves become more complex to design and take up more area. modern SoCs may sometime devote almost 25% of their area to SerDes, and in some cases, an even greater fraction of chip power is allocated to SerDes function.

Approaches to System Scaling and Heterogeneous Integration

Iyer proposes Eliminating the Package and directly bonding multiple bare dies to an interconnect fabric (IF) made of silicon. He notes that the first steps in this direction have been silicon interposers but argues that this is not the ultimate solution since an interposer adds cost and complexity by adding an extra level to the overall package. What Iyer is proposing is “to go a step further and transform the interposer into the board”.

He proposes replacing the current epoxy glass PWB board with silicon. This silicon board would be a wafer on which have been processed several levels of fine pitch wiring with the top-most wiring level matching the top chip wire levels with landing pads of similar dimensions that can connect to other die that have been attached with precision alignment (0.5-μm overlay). He calls this wafer the silicon interconnect fabric (Si-IF). Electrical connections between the rigid flat die and the Si-IF will be made by thermal compression bonding. While technology at this fine scale is not available today, Iyer believes it possible in the near future. He believes that two features of this approach make it feasible:

1) the use of small die (a few millimeters on a side)

2) the fact that both the die and IF are made of relatively thick silicon, are flat and have matched CTEs.

Iyer contends that computing is evolving to a more heterogeneous architecture with a combination of special purpose processors, accelerators, and FPGAs which make this Si-IF integration scheme very attractive, since one can synthesize such systems from a variety of off-the-shelf components. In the case of mobile systems and the so-called IoT, heterogeneity is the key requirement. One can integrate analog components, sensors, MEMS, batteries, supercapacitors, and so on as needed. Overall, they expect that this approach will allow significant reduction in overall board footprint.

Iyer has listed the following requirements for such technology to come to pass.

1) Integrated Design System: Today, chips, packages, and boards are all designed separately and almost independently. This will need to become a lot more integrated. In addition, while, today, we do electrical, thermal, and mechanical design more or less independently, these three views of the system will also have been integrated.

Such a system will require significantly more understanding of the interactions between these 3 views and the development of a significantly more sophisticated set of tools.

2) New Design for Test and Repair Methodologies: As rework is no longer an option, and die-level testing will be limited in scope, the component chips will have to test themselves to a large extent. While technologies to do this exist, they will need to be adapted to bare die.

3) Interface Standardization: Our approach allows us to have a large number of inter die connections and this allows us to parallelize the connections and have simpler interfaces. However, this approach needs to be adopted universally. We believe that the standardization of slower and easier to build parallel interfaces is more easily achieved than serial interfaces.

4) Power Delivery and Thermal Management: In the case of high-end systems, one would need to deliver ∼1 kW of power at different voltages. This will require integrated power management techniques, and the use of features, such as Hi-Q inductors, buck convertors, and power switches, than can either be components attached to the IF or integrated into the Si-IF. Removing the generated heat is another challenge. One mitigating factor in the use of the Si-IF is that the silicon itself is a good thermal conductor and can be an integral part of the heat-sinking solution. The die themselves may have integrated heat sinks made, for example, with silicon fluidic channels or micro machined fins.

5) Structural Properties of Silicon: While the ability to process silicon as an IF is second to none, care must be exercised in wafer handling. Fortunately, silicon-processing equipment has evolved to accommodate this

6) Cost: It has been argued that silicon is expensive and organic materials would be more cost effective. If we need fine pitch interconnects, then in practice, material cost will be about 10% of the finished product cost. Processing the fine pitch interconnects dominates the cost. In fact, it will be very expensive to fabricate fine pitch (sub-10-μm pitch) interconnects on organic

substrates; while fully depreciated silicon fabs can do this easily and more cost effectively on silicon. There are additional benefits of silicon, such as integrated passives and active IFs. The silicon solar cell substrate suppliers have developed the so-called metallurgical grade Si that is cost competitive.

While the challenges are enormous, so too are the payoffs. When compared with the challenges and costs of continuing to shrink minimum features on a die, he believes “… the value proposition of what we have proposed here is solid”.

 

For all the latest in Advanced IC Packaging, stay linked to IFTLE…

IFTLE 300: ITRS 2.0 – It’s the End of the World As We Know It

By Dr. Phil Garrou, Contributing Editor

The 2015 ITRS Roadmap

The 2015 International Technology Roadmap, was released earlier this summer by the SIA (Semiconductor Industry Association). It can be accessed here [link].

If there were any practitioners left who were still denying that scaling has come to an end, this report drove a stake into their heart. No longer snickering at the “conspiracy theory”, all major organizations have now accepted the reality of a future without scaling. Even Popular Mechanics is reporting this as a major event [link].

Christopher Lee

As REM would say :

“It’s the end of the world as we know it
It’s the end of the world as we know it
It’s the end of the world as we know it, and I feel fine”

Some will still argue that the discussion has been about Moore’s Law, not scaling. They will argue that Moore’s law simply predicts a doubling of transistor density within a given integrated circuit, not the size or performance of those transistors. To me this is just semantics. First of all More’s Law is not a Law. It is an observation. Secondly, we all know that Moore’s Law and scaling, to most in our industry had become synonymous over the years.

In the recent IEEE Spectrum article “Transistors Will Stop Shrinking in 2021, Moore’s Law Roadmap Predicts” the authors note “After 2021, the report forecasts, it will no longer be economically desirable for companies to continue to shrink the dimensions of transistors in microprocessors. Instead, chip manufacturers will turn to other means of boosting density, namely turning the transistor from a horizontal to a vertical geometry and building multiple layers of circuitry, one on top of another.” [link]

In fact the ITRS changed its predictions from their 2014 report, when they said that miniaturization would continue until at least 2028. The following figure (from the IEEE Spectrum article) very clearly points out the new ITRS conclusion.

end to scaling

This will be the last ITRS roadmap put together by the Semiconductor Industry Association, which ends a 20+ year effort that began in the US and expanded to include the rest of the world. Citing “waning industry participation” which was to be expected as one after another major players stopped building fabs for the latest nodes. The technical difficulty and costs associated with leading edge fabs has resulted in significant consolidation as all readers of IFTLE are well aware. Today, there are basically just four major players left: Intel, TSMC, Samsung, and GlobalFoundries.

To some the shocker was probably IBM getting out of semi production. Back in 2009 I gave a presentation to a group of Govt officials who told me not to worry about on shore procurement because “IBM will always be around.” My response was “No, that’s incorrect; they will be out of the IC business soon because a $1B chip fab business cannot support building $5B factories.” They laughed it off and ignored the comment. I’m sure they are not laughing now!

Paolo Gargini, chair of the ITRS, astutely commented that chip buyers and designers—companies such as Apple, Google, and Qualcomm—are the ones now dictating the requirements for future chip generations, not the IDM’s that we all grew up with.

IFTLE readers know that this issue has been out there for quite awhile now, for instance at the 2015 IEEE ISSCC (International Solid-State Circuits Conference) Intel detailed results for its future 10nm manufacturing process. They stated that “10nm looks like the end of silicon scaling, to achieve 7nm, a III/V material will be required.”

Intel 1

So, where does this leave us?

The ITRS report predicts the industry will move away from FinFET ~ 2019, towards “gate-all-around transistor” technology. A few years later, transistors will use nanowires and become vertical devices. By 2024 they predict we will be facing a thermal limit which will usher in microfluidic channels to increase the effective surface area for heat transfer.

Is this all come to pass? As Yogi Berra used to say “The hardest things to predict are those that have not happened yet.”

It is clear that solutions being predicted by the ITRS front end experts are certainly front end solutions. As a reader of IFTLE you know that I have been predicting a period of increased focus in packaging. The heretofore front end equipment companies and IC fabs like TSMC, UMC and Global have certainly bought into this theory as 2.5D, 3D, fan in and fan out have become their new buzz words.

So this explains why the REM song fits how I feel now. It may be the end of the world as we have known it…but I feel fine because packaging is now, assuming it’s new position on the forefront or microelectronics. Now that manpower and emphasis have shifted to packaging solutions to customize products, I think we have only seen the tip of the iceberg in terms of technological innovation.

This is IFTLE 300, which means I have been sharing information and thoughts with you for 6 years. Thank you all for your continuing support of this blog.

Now, taking this opportunity to update a few things:

1) Lester the Lightbulb

For those long time readers of IFTLE still interested in my Lester the lightbulb “non scientific” lifetime testing [link] here is where we stand, exactly 5 years (Aug 2011) into our test.

Our LED bulb is still burning, but so is our 25 cent “Lester the lightbulb” incandescent bulb. Yes that is correct, the incandescent is still functioning after 5 years with approx. the same burn time and on/off cycling in the same area of the house. The big looser in all this is the compact florescent (CFL) which has burned out 3 times, that’s right this mercury containing technology (how can anyone call this green ?) is now on bulb #4. A pic of the bulb #3 burnout is shown below. It gave off a puff of white smoke that probably shortened my life due to mercury vapor inhalation. Lucky it didn’t start a fire.

CFL

2) Hannah and Maddie

Early on I told you that you would have to put up with pics of my granddaughters every “now and then” because “that’s what grandparents do.” It is now “now and then” once again.

H&M

 

3) The IFTLE Tag Line

Since its inception “Insights from the leading Edge” has intentionally put a lot of emphasis on 2.5 / 3D. It has been obvious that if this technology were to take root, it would be a paradigm shift in how we do packaging. IFTLE felt the community needed constant updates on were things stood. More than a decade has now gone by since the first articles appeared proposing we mainstream 3DIC with TSV. The first real products have now appeared in FPGAs, CMOS image sensors and stacked memory. It has clearly become one of the arrows in the packaging quiver. Will prices come down and its applications proliferate? Only time will tell.

Starting with IFTLE 301, my tag line will simply become “For all the latest in advanced IC packaging, stay linked to IFTLE.” IFTLE will certainly still cover advances in 2.5 / 3D although not with the special emphasis placed on these topics in the past.

How everyone has enjoyed their summer, I need to go off now and decide on content for IFTLE 301.

..…….It’s the end of the world as we know it, but I feel fine!…………….

IFTLE 299 Siliconware’s Ma Discusses Die Stacking Options for 2.5D

By Dr. Phil Garrou, Contributing Editor

Continuing our look at IEEE ECTC 2016:

Siliconware – Die Stacking and Integration Options with TSV Based Si Interposers

At the recent IEEE ECTC Conference in Las Vegas, Mike Ma of Siliconware compared various die stacking and integration options with TSV Si interposers. From his perspective there are four main stacking platforms for 2.5D IC in advanced packaging. They are shown in the figures below.

In the first method known as Chip on Chip (CoC) on substrate the silicon interposer is fully processed and then multiple active chips are stacked on the silicon interposer, followed by assembly of chip module on the substrate.

The interposer is diced and attached to a silicon carrier which is coated with temporary adhesive film. The carrier provides handling and warpage control capability, i.e. the silicon interposer warpage can reportedly be controlled to within 10um during high reflow peak temperature. After that, the top die can be sequentially attached onto interposer with flux and joined together via mass reflow then followed by an underfilling process. Then the silicon carrier is de-bonded and the individual chip module attached onto blue tape film frame and ready for substrate assembly just as usual traditional FCBGA process.

In the second method known as Chip on Substrate (CoS), the silicon interposer is fully processed with TSV, metal layers, u-bumps, Backside Via Reveal (BVR) and C4 bumps. The processed silicon interposer is then assembled on the substrate followed by assembly of the multiple active chips onto the interposer.

In CoS, the interposer, ( ~ 100um thick) is die bonded to an organic substrate followed by assembly of top multiple active chips. If the substrate warpage is opposite the interposer (interposer warped up and substrate warped down is usual) , there are risks of electrically open or short failures happened after die bonding process because C4 bump height can’t overcome the gap change between TSI and substrate during the die bonding thermal excursion. Thermal compression bonding (TCB) is used to keep a stable gap between the interposer and the substrate during the die bonding process. In addition, Non-Conductive Paste (NCP) or Anisotropic Conductive Paste (ACP) are used.

SPIL 3 CoC vs CoS

Chip on Wafer before TSI backside process (CoW_first) involves attaching the top active chips on front side micro-pads of silicon interposer before the silicon interposer backside bumping process.

After micro bump bonding, underfilling and molding the wafers are ground down to expose the chip tops. The wafers are then flipped and temporarily bonded to a support wafer, the interposer vias exposed and bumped. The modules are then de-bonded from the carrier to a tape ring. Finally, the modules are FC BGA assembled on the organic BGA substrates

In the Chip on Wafer after TSI backside process (CoW last) single or multiple top dies are attached to the interposer wafer after the interposer has been fully processed including front side u-bumps process, backside via revealing process, backside re-distribution layer and final C4 or Cu pillar bumping process.

After interposer is prepared to receive chips to top surface, it is flipped and supported on a carrier for backside reveal, RDL and bumping. It is then flipped onto a second carrier and he chips mounted, underfilled and molded as before.

SPIL 3 CoW first vs last-2

Comparing to the CoW first process with TSV, die bonding assembly portions are the same, but there are differences in the interposer fabrication. Instead of completing micro-bumps process first, RDL and PSV are implemented first and followed by UBM process. Since TSV-less platform has no interposer in its final form, the carrier can be either glass or silicon. After the carrier bonding process, instead of the usual backside reveal process, the TSV-less interposer will be partially removed by mechanical grinding followed by wet etching to completely remove silicon portion and stop on the remaining passivation layers. The passivation layer is then patterned to expose contact areas for further C4 bumps. Further assembly including the chip module on substrate processes are the same as described for CoW first.

Ma compared the chip stacking options in the chart below.

SPIL 3 Comparison of chip stack options

Editorial correction: In IFTLE 298 IFTLE inadvertently assigned credit for the “20um Pitch Thermo Compression Copper Pillar Bonding” work to IMEC instead of rightful authors at IME. This should have been corrected by now, but we did want to offer our apologies for this error.

For all the latest in 3DIC and other advanced packaging, stay linked to IFTLE…

IFTLE 298 IME 20um Pitch Thermo Compression Copper Pillar Bonding

By Dr. Phil Garrou, Contributing Editor

Continuing our look at IEEE ECTC 2016:

IME – Thermo Compression Bonding of 20µ Pitch Copper Pillar Bump for 3DIC Stacking

Throughput is limiting the adoption of 3D IC stacking processes although 3D IC has many advantages in shorter communication lines, lower electrical parasitic and lower package footprint. Thermal compression bonding (TCB) of a chip stack done one by one, it takes long cycle time to complete a 300mm wafer. Typical TCB bonding with bump pitch of 50-100μm takes 14-16 sec per chip and more than 22 hours for a 300mm wafer with 1440 dies x 4 layer stacking. A significant improvement on the throughput is needed for high volume manufacturing.

IME has developed a 20μm pitch micro-bump array assembly process with throughput of 1200 UPH (or 3 sec/chip) and bonding accuracy <2um by using two-step C2W bonding. The C2W is carried out in two steps where in the first step chips are temporary tacked on the wafer with planarized wafer level underfill, and in the second step, fully populated tacked chips on wafer are permanently bonded by using pressurized gas in a gang bond process. The gang bonder maintains chuck at constant bonding temperature. This two-step C2W process provides a new approach to solve the major concerns of the two step C2W bonding: (1) chips shifting during gang bonding, (2) pillar height variation causes gang bonding force non-uniform distribution among chips and (3) fine pitch (<30μm) solder bridging causing electrically short.

A traditional gang bonder uses metal piston press on the top wafer but sine copper pillar bump heights are not uniform enough, a soft material layer is added on top of the chips to absorb chip height variations as shown below.

IME 1

But the soft layer material and Si wafer have different CTEs, thus expanding differently during thermal processing . This non-uniform expansion causes horizontal force on chips resulting in chip misalignment. To overcome the chip shifting issue, a gas pressure bonder is used for gang bonding as shown below.

IME 2

This solves the pillar height variations without chip shifting.

IBM Zurich – All Copper Interconnect from Nanoparticle Sintering

IBM Zurich continued their reporting on the use of copper nano particles (nps) to form all-Cu flip chip interconnects based on pressureless low temperature sintering (~ 200 C).

It is generally agreed that an increase in current density is required to support the reduction in transistor size and supply voltage as well as 3D integration of integrated circuits. However, the current capacity in solder-based interconnects is limited due to electromigration. It is generally accepted that all-Cu interconnects should result in electrical interconnects with a higher current capacity.

Fully Cu interconnects can be formed by thermocompression bonding (TCB) of two flat Cu surfaces at high temperatures (350 C) and pressures. It is also known that the use of nanoparticles (nPs) at the bonding interface reduces the required temperature and pressure needed to form an interconnect, while also allowing for less stringent requirements for surface roughness.

In the IBM process, a Cu paste is applied between Cu pillars and Cu pads in a standard flip chip bonder. The assembly is performed at room temperature with a controlled low force. The interconnects are subsequently formed by sintering the Cu nPs in the paste at 200 °C in a batch oven under a reducing formic acid atmosphere.

IBM 1

Process sequence to form all-Cu interconnects: a) a Cu paste film is applied onto a carrier; b) the pillar chip is dipped into the Cu paste firm; c) Cu paste is transfered to the tip of every Cu pillar; d) the Cu pillar chip is placed onto the substrate and is sintered in a batch reflow oven at 200 °C in formic acid activated nitrogen

However, large differences in electrical and mechanical properties of the tested sintered Cu foils compared to bulk Cu results as shown below. It is believed this is due to the porosity present in these nano copper interconnects. The shear strength of the nano copper interconnects was also significantly lower than standard Sn/Ag non lead joints [ 19 =/-5 MPa vs 65-75 +/- 10 MPa]

IBM 2

Attempts to use a bi modal distribution of nano and micro copper particles did not materially affect these results.

SK Hynix – Characterization of Stacked Memory

The use of tremendous number of through TSVs and micro-bumps in a stacked package is a major worry to its manufacturers and users. DRAM chips with TSVs are thin and its micro-bump interconnection can be affected by the process conditions and materials selection. Copper, widely used for via filling, may bring about interconnect failures by Cu pop-up due to higher CTE than Si and transistor failures by its contamination into silicon lattices. Micro-bump joints are also of interest in terms of reliability. Thermal-compression bonding (TCB) is a common way to stack up multiple chips with TSVs and micro-bumps but insufficient bonding time can lead abnormal bump joints and various failure modes such as non-wet, brittle intermetallic compound (IMC) formation, bump cracking, head-in-pillar (HiP) joints etc.

Thermal characterization is also important in TSV stacked chip packages. High performance devices such as HBM packages need to be thermally evaluated. Any polymer layers between stacked chips may impede thermal flow and thus raise the junction temperature of each slice.

Fault isolation techniques are required to identify and correct any failure modes present. Options are compared in the table below.

Hynix 1

For all the latest in 3DIC and other advanced packaging, stay linked to IFTLE…

 

IFTLE 297 TSMC Describes UBM Free Fan-In WLCSP

By Dr. Phil Garrou, Contributing Editor

Continuing our look at the 2016 ECTC Conference:

TSMC – UBM Free Fan In WLCSP

TSMC discussed their UFI (UBM-Free Integration) Fan-In WLCSP technology which they claim enables large die fine pitch packages.

Development of low-cost WLCSP for large die with high I/O count is desired for broadening its applications. Reliability issues including solder cracking and high chip warpage are known to be the main challenges for extending the die size of conventional WLCSP to more than 5×5 mm2 with ball pitch smaller than 350 um.

TSMC has discovered that by controlling the maximum strain location and optimizing materials, chip warpage and the stress between Si and the PCB can be reduced which improves both component and board-level reliabilities of WLCSP packages. Packages as large as 10.3×10.3 mm2 with both 400 and 350 um ball pitches have been developed.

UBM is used as an interfacial layer between the metal pad of the integrated circuit and the solder ball. The formation of UBM/solder intermetallic compounds (IMC) limits the board level reliability of the package due to the poor mechanical robustness of IMCs. When the die size is increased, stress increases which promotes cracking at the UBM/solder ball interface.

TSMC claims their UFI WLCSP fabrication cost is lower than conventional WLCSPs due to the elimination of the UBM. Removal of the UBM also reduces the thickness of the package by 30%.

The figure below compares the structures of a standard WLCSP vs the TSMC UFI WLCSP. In the UFI WLCSP, the solder balls are directly mounted to the Cu RDL followed by the polymeric PL (protection layer which secure the balls.

TSMC 1

Very similar removal of UBM and subsequent thickening of the copper pad has been reported before by Amkor in 2010 [link]

TSMC simulation results showed the solder joint fatigue life decreases with increasing die sizes for both UFI and the conventional WLCSP. Predicted solder ball fatigue life was found to increases with decreasing die thickness. The authors suggest that decreasing the die thickness not only reduces the thermal expansion difference between the die and the PCB, but also causes the die to bend more under thermal loading. In addition, simulation results imply that solder joint creep strain for solder mask defined (SMD) structures is 72% higher than for non solder mask defined (NSMD) structures because of its reduced flexible solder joint height and the constraint of the solder mask. Thus they concluded that it is better to use NSMD type of PCB for UFI WLCSP. The use of NSMD structures to increase reliability has been known since the work of Bell Labs Ejim [ref]

TI Ejim et. al., “Reliability performance and failure mode of high I/O thermally enhanced ball grid array packages” Electronics Manufacturing Technology Symposium, 1998, p.323 – 332.

The UFI WLCSP passes all component-level tests and exhibited board-level thermal cycle life that is 1.4 and 2.3 times longer than that of the conventional WLCSP in terms of the first failure and the Weibull distribution, respectively. 10mm UFI WLCSP have passed component-level reliability tests suchas TCB1000, uHAST96 and HTS1000, and board-level reliability tests of TCG500 and drop tests. 

To demonstrate the possibility of higher interconnect density, they fabricated UFI- WLCSP with multiple RDL layers. The package with two RDL layers had die size of 10.3 x 10.3 mm2 and ball pitch of 350 um. The structure is shown below. Again such structures passed all component level reliability testing.

TSMC 2

Tohoku University – Interconnect Impact on 20um thick DRAM Chips

The effect of thermo-mechanical stress originating from CuSn μ-bumps and Cu TSVs on the retention characteristics of 20- μm-thick, vertically stacked DRAM memory chips. They determine that there is 50% probability that data retention period decreases by 47% for the DRAM chip having thickness value of 20 μm as compared to the retention period of 200 μm-thick DRAM chip.

Back-end-of-line (BEOL) processes on ultra-thin dies/wafers might cause severe degradation to device performance, due to deteriorated mechanical strength and lattice defects, back-side metal contamination, thermo-mechanical stress caused by TSVs and μ-bumps, local mechanical stress

induced on active Si near m-bump region, etc. They the thermos-mechanical stress present in the DRAM dies with thickness values varying from 200 μm down to 20 μm using micro-laser Raman spectroscopic techniques.

Retention time data obtained for a 50 μm-thick DRAM die at two different positions respectively 15.5 μm and 0 μm from the KOZ of TSV. Before annealing the stacked die, we observed similar retention time values for both the macros. While after annealing at 300 °C, irrespective of position they observed reduction in DRAM retention time at the area closer to the KOZ.

Upon reducing down the DRAM chip thicknesses to 20 μm from 200 μm, the retention time is nearly halved at the cumulative probability of 50 %. After annealing at 300 C, a compressive stress value of -200 MPa caused by Cu-TSVs was found as the remnant stress at the periphery of the keep-out-zone, and faded quickly by moving away from the keep–out-zone. Retention time deterioration was found to be influenced by the thermo-mechanical stress caused by TSVs. A large amount of tensile stress was induced on the back-side of DRAM chip at right above the CuSn μ-bump region.

Tohoku 1

Brewer Science – Temporary Bonding Materials

IMEC, Brewer Science and Suss gave a presentation on the status of temporary bonding materials.

The first-generation product was WaferBOND® HT-10.10 thermoplastic bonding material. The debonding approach was based on the re-melt of the bonding material at elevated temperature and mechanical slide-off of the carrier. The technique poses some challenges including:

  • Debonding is usually performed at an elevated temperature, in the range of 200°C or higher, which prevents the integration of low-melting-point solder materials.
  • Shear force increases with the carrier slide-off speed.

The second-generation product ZoneBOND® 5150 is based on a room temperature debonding. A short chemical dissolution of the bonding material on the wafer edge is required before mechanical debonding. The thin wafer is put on dicing tape, ensuring mechanical support throughout the process. The carrier substrate is then removed mechanically in a perpendicular direction as opposed to the thermal slide debonding approach.

To further reduce the process cost, a third generation of materials, BrewerBOND® 305 , has been explored. They have eliminated the need for dual zones on the carrier substrates . Thus debonding no longer requires any chemical treatment reportedly simplifying the process and resulting in a cost reduction of 25%. A summary of the three generations of processes and key challenges can be found in the table below.

Brwer 1

For all the latest on 3DIC and other advanced packaging, stay linked to IFTLE…

IFTLE 296: The 2016 ConFab – China the “Wild Card”; HBM Close Up; Comparing High Density Packaging Technologies

By Dr. Phil Garrou, Contributing Editor

By now every web site from the MIT review to Popular Mechanics (yes, seriously) has picked up the release of the last ITRS roadmap and it’s conclusion that Moore’s Law or more precisely “scaling,” as we have known it, are a thing of the past. I will let the dust settle and dedicate IFTLE 300, my milestone, to discuss this milestone.

But for now, taking a respite from the powerful 2016 ECTC conference, let’s take a look at activities at the ConFab that occurred in June.

IC Insights –IC Industry Status

Bill McClean gave his annual look at the state of our industry. His numbers (shown below) show us coming back to pre 2015 values, although IFTLE’s gut feel is that we will be lucky to surpass 2015 numbers. I base this on the now well accepted data that shows our electronics industry has a 96% correlation factor with the overall GDP. It’s nice to have a rosy outlook, but the GDP just isn’t going anywhere lately and even 2% growth may be overly optimistic.

IC insights 1

 

Equally as interesting is the role of China in this economy. In past blogs I have called them the “wild card” in any economic outlooks. They are pursuing the microelectronics industry so strongly because they are currently manufacturing only 13% of the ICs they consume (2015). The Govt has poured billions into funds to try to reverse this.

McClean reports the following 3 phase strategy:

IC insights 2

We are clearly in the 3rd phase now where they are attempting to gain share in the electronics industry by mergers and acquisitions.

ic insights 3

Although attempts to buy Micron, WesternDigital/Sandisk and Fairchild have not worked out, many other mergers / acquisitions / JV’s have as shown below.

IC insights 4

 

TechInsights – Where the money is being made and HBM Close up

Kevin Gibb from TechInsights had an interesting point to make about where the money is being made and some great cross section photos of Hynix HBM memory stacks.

First, looking at where TSMC and UMC generate their sales, we see that TSMC is much more highly invested in the latest nodes, i.e. 28 and 20nm vs UMC. Also interesting that 180 and 130nm are generating more sales for TSMC than 90 and 60nm.

TechInsights 1

 

We are all aware by now of the infamous Hynix HB memory stacks which have become the mainstay of 2.5D memory products like the AMD R9 Fury. The photos below are some of the better close-up cross sections that I have seen.

TechInsights 2

The 5.5um Cu filled TSVs are on 40um pitch and show a 9um KOZ (keep out zone)

 

Intel – Enabling IC Scaling, Miniaturization and System Integration through Adv Packaging

Islam Salama of Intel joined the bandwagon that some of us reached years ago when it became clear that Moore’s Law WAS coming to an end. That is – future product advances / differentiation will come from advanced packaging technologies.

He offered the following slide to support his premise that packaging substrates are becoming an integral part of product performance.

Intel 1

Even more interesting was this comparison of the densities achievable with some of today’s more popular high density packaging technologies. He concludes that panel level technology and die last approaches offer a path for technology scaling and affordability.

Intel 2

For all the latest in 2.5/3DIC and other advanced packaging, stay linked to IFTLE…

IFTLE 295 Advances in FO-WLP at 2016 IEEE ECTC

By Dr. Phil Garrou, Contributing Editor

Continuing our look at IEEE ECTC 2016. Let’s examine some of the activity in the FOWLP arena.

ASE

At the recent ECTC conference, Bill Chen of ASE proposed categorization of fan out packaging.

While the initial driver for fan-out packaging like the Infineon e-WLB was to increase available IO for niche baseband applications, the main driver now is clearly to achieve multi chip packaging.

ASE 1

 

Chen now proposes we categorize FOWLP options as follows based on chips first, chips last, multichip or stacked chips (PoP):

ASE 2

DECA – Adaptive patterning for FO WLP

FO-WLP enables size and performance capabilities similar to Wafer-Level Chip- Scale Packaging (WLCSP), while extending the capabilities to include multi-device system-in-packages, with lower costs than 2.5D interposer technologies. But – Adopting these new technologies for single die and multi die system-in-packages requires more advanced design methodologies and tools than traditionally used in traditional WLP.

In a fan-out process the key step is the creation of a reconfigured wafer or panel. First copper studs are formed on the native device wafer over the bond-pads, and then the wafer is singulated. A pick-and-place machine then attaches the dies face-up to a carrier with a temporary adhesive. Then, the carrier and dies are over-molded, the temporary adhesive is removed, and the front of the panel is ground to reveal the copper studs. After this process, called panelization, a first via layer (VIA1), redistribution layer (RDL), second via layer (VIA2), and under-bump metallization (UBM) are formed using processes similar to WLCSP.

Two main challenges prevent widespread adoption of wafer-level fan-out technology are warpage and die shift during processing. Warpage is caused by the mis-matched CTE between mold-compound and silicon and can be addressed using structural approaches, such as the fully molded structure, or by tuning process parameters. Die-shift is the accumulation of die position error from chip-attach tolerances and movement during over-molding. Tuning process parameters such as pick-and-place force can help minimize shift, and movement due to molding is often predictable enough to compensate for during chip-attach. However, the total die shift can still range from 30 μm to 45 μm with rotation up to 0.3°on a high-throughput machine.

The die-shift problem has limited traditional FO-WLP from widespread adoption. In order to meet industry requirements, FO-WLP must be processed with high-throughput chip-attach machines, typically resulting in shift distributions that cannot be handled by traditional processes. Additionally, these processes cannot handle finer pitch connections to the die with wider shift distributions

The DECA technology derived to do this is called “Adaptive Patterning”. In this manufacturing process, an optical scanner is used to measure the true position of each die after molding, and a uniquely generated fan-out design is applied to each package. One design technique, adaptive alignment, shifts and rotates the first via and RDL layer to match the die location. Another technique, adaptive routing, utilizes a fan-out RDL design with sections removed near vias that contact the die. The final RDL connections to the die are generated by an auto-router after the true die locations are known.

In the case of adaptive patterning, the design rules specify the maximum die-shift for which the design can be adjusted. For both cases, a simple approach limits the die-shift to a range of X, Y, and θ values (e.g. −30 μm to 30 μm and -0.3°. The table below shows the magnitude of shift possible from rotation alone on several package sizes.

DECA 1

By adapting to die-shifts that are an order of magnitude larger than can be tolerated by traditional processes, this technology solves the last major industry challenge to adoption of fan-out packaging. The design rules for adaptive patterning are more complicated than traditional rules; however, this may be required for designs with high density interconnects and scarce routing space.

Siliconware – Fine Pitch RDL for High Density 2.5D

The original purpose of the Redistribution Layers (RDL) was to assist in the adaption of metal bumping and flip chip packaging technologies, by the addition of the metal and dielectric layers onto the wafer surface to re-route the legacy designed irregular peripheral I/O layout, into a new area array bond pads layout to facilitate a balanced metal bumps and flip chip bonding. The redistribution layer technology required polymeric thin film (e.g. BCB, Polyimide, PBO) as insulator and a semi-additive metallization scheme (often Cu pattern plating).

RDL technology, has extended its application into advanced packaging technologies, such as fan out wafer level packaging (FO WLP) and various TSV-less, substrate-less multiple chip integration, that to drive the cost effective miniaturization of system-in-package (SiP) application. The Cu RDL that in production, the line width/ spacing are 10 μm/10 μm or pitch of 20 μm .

The capability for fine pitch and multi layer RDL must be established at OSATs because the market is currently driving towards multiple chips integration and SiP applications. Furthermore, it is more difficult for the L/S < 2um due to there is no sufficient process window of lithography process.

While scaling from 10 to 3 μm poses no significant technical difficulties with existing tools, as long as the Cu thickness are proportional shrunk to keep the width/ height aspect ratio, below pitch of 6 μm, it is difficult to make such fine pitch layers on top of other layers, since the topography of multiple RDL with any planarization, that is out of the depth of focus (DoF) range of 2 μm and 1 μm, and such 2 μm / 4 μm pitches, would be limited to the first RDL in the multiple RDL scheme.

Cu dual damascene technology are generally used in ICs manufacturing or silicon interposer fabrication. CVD dielectric films (Si oxide, Si nitride) are commonly used in the modern IC fabrication fab (90nm and beyond), and can be used for up to three levels of 1um Cu RDL .

A comparison between a Cu dual damascene process and traditional organic RDL process are shown below. The Cu dual damascene process can provide a flat surface with excellent topography and we can combine this advantage with traditional RDL process to resolve the surface topography issue for the multiple RDL layers. For example in 3 layers RDL structure, we can use one dual damascene layer and two organic RDL layers to reduce the TTV of surface topography and then satisfy the DoF requirement of photo-resist materials.

SPIL 1

Cu dual damascene technology is a challenge for traditional bumping process and tools, especial for the lithography, Reactive-Ion Etching (RIE), Cu electroplating, and Chemical-Mechanical Planarization (CMP) steps. For the lithography process of Cu dual damascene, the key points are the opening dimension and profile of photoresist materials after the lithography process. Current development and research direction focus on the high resolution photo-resist materials and high numerical aperture (NA) exposure tools. The dielectric material of Cu dual damascene is generally silicon oxide (SiO2). In order to increase the oxide etching thickness accuracy, a thin silicon nitride (SiNx) film is deposited as stop layer between the oxide layers.

The figure below shows a silicon interposer structure with TSV and there are 3 dual damascene metal layers with u-pad in frond side of interposer and there is one RDL layer with C4 bumps on the backside of the interposer. The L/S are 1um and thickness 1um also for 3 dual damascene layers. And layers are connected by 0.5um diameter and 0.9um thickness via opening.

SPIL 2

Hybrid integration of the fine pitch CVD RDL with polymeric dielectric RDL is shown in the figure below. It is a substrate-less package with 0.4mm pitch BGA balls; the package size is 15mm x 14mm with one CVD dual damascene RDL with 2/2um line L/S combined with two organic RDL with 5/5um and 10/10um line L/S.

SPIL 3

 

The top die jointed with RDL by 40um pitch u-bump and molded by molded underfill (MUF) technology. The CVD oxide and nitride RDL 2/2um line L/S connected to organic RDL 5/5um line L/S by 10um via open which made by deep reactive-ion etching DRIE process. The second RDL contains 10/10um line L/S. This hybrid test vehicle passed open/short test after 96hrs of HAST, 1000 TCB cycles and 1000hrs of HTS.

For all the latest in 3DIC and other advanced packaging, stay linked to IFTLE…