Insights From Leading Edge

Monthly Archives: December 2016

IFTLE 315: IMEC Leads at IEEE 3DIC 2016

By Dr. Phil Garrou, Contributing Editor

The 7th annual IEEE 3DIC Conference took place in SF a few weeks ago chaired by Paul Franzon of NC State and Bob Patti of Tezzaron. Without question, IMEC led all participants with several key papers at the conference. This week, IFTLE will look at IMEC contributions.

In their paper “Continuity and Reliability Assessment of a scalable 3 x 50μm and 2 x 40μm via-middle TSV Modules” IMEC describes a scalable via-middle module process, featuring an ALD oxide liner, a thermal ALD WN barrier and an electroless NiB platable seed. The module has been downscaled from 3μm to 2μm diameter TSVs. Both the front side to back side TSV continuity as well as the TSV reliability were found to be satisfactory.

When increasing the aspect ratio of the TSV from 10:1 to 17:1 and even 20:1 (for 3 x 50μm and 2 x 40μm respectively), the use of a conventional PVD barrier and seed reaches its conformality limits, as very thick layers need to be deposited in order to assure a continuous film at the bottom of the TSV. For this reason, an advanced and scalable 3 x 50μm TSV metallization scheme was developed and further scaled down to 2 x 40μm diameter/depth TSVs.

IMEC vias middle process flow is shown below.

IMEC 1

 

The oxide liner is deposited in an ALD oxide system . 100% conformality is obtained over the entire TSV depth for 2 x 40μm TSV structures. The 17nm thermal ALD WN barrier is deposited followed by a 100nm electroless NiB seed . Both layers exhibit highly conformal deposition. The TSV copper electrofill is done on an ECD system. Void free filling is obtained for these 2 x 40μm TSVs placed at pitch of 5μm.

The device wafers are temporary bonded to a Si carrier wafer, using Brewer Science Zonebond process. Device wafer thinning is done by mechanical grinding, rough followed by fine grinding, to provide polished surfaces. The mechanical grinding is stopped before the first Cu TSVs are reached, thus leaving a silicon layer between the wafer backside and the tip of the TSVs. A wet process based on HF/HNO3 isotropically etches a few microns of Si, followed by an additional wet TMAH step, selective to the liner oxide, to reveal the TSV bottoms. Cu of the TSV remains encapsulated in the oxide liner. The back side passivation layer is processed on the revealed via bottoms. A low temperature nitride layer is deposited on the wafer backside, and a thick resist layer planarizes the whole surface. Blanket etch back of the layer without photolithography, to expose all TSVs while a thin resist layer remains on the field. The passivation layer together with the oxide liner are etched away in a dry etch process selective to the barrier metal. Finally, the resist is stripped.

Then back side RDL is integrated with a semi-additive process. TiW/Copper barrier and seed deposition, is followed by copper plating.

The liner/barrier integrity is verified by using the controlled I-V method The TSVs are tested in both copper confined (accumulation) and copper-driven (depletion) mode to check the quality of the oxide liner and WN barrier combination. The IVCTRL test indicates excellent barrier/liner reliability of the 2 x 40μm TSV.

In the IMEC paper “Die to wafer 3D stacking for below 10um pitch micro-bumps” reports on the process flow for embedded bumps for below 10um pitch micro-bumps. A process is introduced to fabricate Sn micro-bumps with zero undercut . Revealing bumps and planarization was done by CMP and surface planer. Initial TCB stacking showed well aligned bumps for 5um pitch daisy chains, good mechanical strength of bonded chips and IMC formation between Sn and bottom Cu pads. Calculations show that replacing Cu with Co and Ni will result in less material consumption which is interesting for sub 10um pitch micro-bumps.

In their embedded micro-bumps approach, micro-bumps are embedded in either organic or inorganic dielectric materials. As shown below UBM is processed in a damascene type approach and solder is embedded in a non-cured polymer or WLUF (wafer level underfill). Since a damascene process is used for UBM, spacing between them can be reduced. For 5um pitch, 1um spacing is used. Selection of solder diameter is based on alignment capability of TCB tool.

imec 2

It was found that at temperatures below 120oC, Cu/Sn IMC grows faster than Co/Sn or Ni/Sn IMC while at higher temperatures close to melting temperature of Sn (233oC) Co/Sn and Ni/Sn will grow faster.

The figure below shows plated Sn micro-bumps in 5um pitch and 40um pitch regions before seed etch.

imec 3

 

For die to wafer stacking an advanced high precision thermocompression bonding tool from Besi with alignment accuracy close to 1um was used. Total profile is around 10s with interface temperature of 250oC and force around 10-15kg. In order to prevent Cu or Co pads from oxidation during bonding, passivation layers such as SAM, NiB and immersion Au were used.

In their paper “Importance of alignment control during permanent bonding and its impact on via-last alignment for high density 3D interconnects” IMEC reports that by taking into account the described alignment tolerances, via last diameters of 1μm enable 2μm pitch TSV interconnection densities.

When looking at dielectric bonding with via last TSV connections. Two wafers are finished with a very smooth, low-topography dielectric layer. The wafers are cleaned and the surfaces are activated by plasma processes. The wafers are subsequently aligned with high precision and brought into contact, resulting in a spontaneous room temperature wafer-to-wafer bonding. After annealing the bond between the wafers becomes permanent. The top wafer can then be thinned and backside via-last TSV contacts can be created to connect electrically to both the top and bottom wafer. The integration scheme is shown below.

IMEC 4

The structure has 1μm diameter TSV on a 2 μm pitch. On top of the FEOL stack, 300mm top and bottom wafers have 1 damascene metal layer, called MET1T in the top wafer and MET1B in the landing or bottom wafer. Both wafers are finalized with a planarized SiO2 and a SiCN bonding layer. A SiCN bonding layer is preferred over SiO2 or SiON for its higher bonding strength. The top wafer is aligned to the bottom wafer and bonded at low temperature with high accuracy to the bottom wafer.

The bonding processing is completed by the post-bonding annealing process of 2 hours at 350°C to strengthen the bonded dielectric interface. After aligned bonding of the wafers, the top wafer is thinned to 5μm Si. The thinning process is a combination of wafer grinding, Si CMP and Si dry etch.

The wafer-to-wafer interconnects are realized with 1μm diameter TSVs, defined by through-5μm Si alignment on a high accuracy scanner. The smoothness of the Si surface is critical to enable the alignment. The TSVs are aligned to the corresponding landing pad.

In their final paper IMEC discusses “High-Density and Low-Leakage Novel Embedded 3D MIM capacitor on Si Interposer”. In this work they present a technique to fabricate embedded 3D MIM capacitor on Si interposer showing capacitance densities as high as 96 nF/mm2 and low leakage current of 1.5 pA/nF, while having a breakdown voltage of 10.5 V and > 10 years lifetime (T50%@1V,100 °C = 5.18e16 s).

The process flow is shown below.

imec 5

The list of investigated 3D MIM capacitor is shown in Table below.

imec 6

 

For all the latest in Advanced Packaging, stay linked to IFTLE…

IFTLE 314 IMAPS 2016 part 3: Shinko’s iTHOP; Yole Predicts Sharp Rise in FOWLP Mkt

By Dr. Phil Garrou, Contributing Editor

Finishing our look at the 2016 IMAPS Conference, let’s take a look at presentations by Shinko, Yole, UCLA, Maxim and Asahi Glass.

Shinko

Kyozuka of Shinko Electric discussed their i-THOP (integrated thin film high density organic package) package for mobile applications. Based on the trend of partitioning large chips into smaller functional units that are the connected on a high density SiP, Shinko sought to develop a high density (2/2 L/S) organic package for use in mobile devices. It involves the integration of thin film layers on a conventional build up PCB as shown below. Design specs and process flow are shown below.

Shinko 1

 

A discussion of the “embedding resin” which was not identified indicated that materials “B” and “C” “…can be applied for this structure” since no cracks were seen after T/C testing. IFTLE worries about these choices since these materials reportedly exhibit tensile strength between 60 & 100 MPa and elongations of < 2.5%.

Yole

Azemar of Yole discussed FOWLP market and technology trends. Yole sees the fan out market splitting in the future into (1) single die applications such as baseband, power management, Rf transceivers etc and a (2) high density market exemplified by the TSMC “InFO” that include larger IO count applications such as processors. This explains the sharp jump in their market forecasts as shown below.

Yole 1

UCLA

Subu Iyer and his group at UCLA have been taking a look at Cu-Cu thermo- compression bonding for die to substrate interconnection. Temperature, pressure, and surface roughness were examined and optimized process parameters are shown below. Oxidation of the copper surface is shown to hinder the bonding as is surface roughness. Samples with roughness of a few hundred nm could not be bonded.

UCLA 1

Annealing at 400 C for 2 hours gave the best bonding results.

Maxim

Kelkar of Maxim discussed their mold compound free fan out package which they reconstitute on a silicon wafer.

In order to avoid the issues inherent with mold compound based fan out wafers such as warpage, Maxim has developed a process based on silicon wafers. They list one of the packages attributes as “low cost” although I’m sure there are those who would disagree. The process flow is shown below.

Cavities are formed in the silicon wafer by wet etching, die are placed face up in the cavities and the remaining space filled with epoxy. RDL and ball placement follow similar to other FOWLP chips first approaches. It is shown in cross section below. The parts have passed std WLP reliability testing.

Maxim

 

Asahi Glass

Nomura and co-workers from Asahi Glass discussed CTE controlled glasses for the minimization of thermal stresses in package formation and assembly. In order to minimize the stress induced on silicon during thermal processing glass substrate are required to be perfectly matched to silicon over the required temp range. Asahi glass claims to have developed such a glass “glass C” as shown below.

Asahi glass 1

Glass C is an aluminoborosilicate composition with controlled chemical composition and thermal history. Silicon wafers bonded to this CTE matched glass show very low warpage over the required temp range.

Answer to IFTLE 313 question:

The “rock” on the right is none other than the island of Manhattan, built on a giant slab of mica schist. The photo was taken from 10k+ feet, at night, by my younger son Christopher who is a Chef in Maine. Old time IMAPS members might remember Christopher attending the IMAPS Ogonquit MCM workshops run by Jack Balde 20+ years ago.

If you swing the picture around so that the pointy end is in the top right corner, Times square is the bright area in the lower left.

An IFTLE technology tidbit is that my neighborhood (Hells kitchen which is just west of Times Square towards the Hudson river) was one of the first neighborhoods in NYC to be electrified (well before I was born). Recall Thomas Edison’s method for generating and transmitting electricity was called direct current (DC). Westinghouse Electric purchased the “polyphase” alternating current (AC) system invented by Nikola Tesla. In an AC system, transformers are used to step up, the voltage that leaves the power plant which enables electricity to travel over long-distance wires. When the electricity reaches its destination, another transformer would then step down, the voltage so that power could be used in homes and factories. There was a major commercial battle to see what technology would win.

My father told me that our neighborhood was initially fitted with the Edison DC system and ran that way through the early 1930’s before it had to be retrofitted with the less costly and more reliable Tesla system. I’m sure at the time everyone thought going with the Edison system was the safe and sensible thing to do, but sometimes it isn’t.
For all the latest on advanced packaging, stay linked to IFTLE…

IFTLE 313 IMAPS 2016 Part 2: JCET & Qualcomm Discuss CPI for Die in FOWLP

By Dr. Phil Garrou, Contributing Editor

Post Thanksgiving IFTLE mean an update on Maddie (L) and Hannah (R). The family spent Thanksgiving in NYC where I grew up. The rock behind them is from the meteor exhibit at the Museum of Natural history. Speaking of rocks, can anyone identify the rock on the right?

H & M

JCET [STATSChipPAC]

Lin of JCET discussed CPI (chip package interaction) for 28nm die in eWLB fan out packages. JCET proposes FOWLP as the 3rd wave of packaging:

JCET 1

They proposed the following current and future eWLB solutions:

JCET 2

They have evaluated electrical CPI and CBI (chip board interaction) for their FOWLP technologies with the following test package and found no problems.

jcet 3

 

Qualcomm

Ray of Qualcomm examined CPI (chip package interactions) in FOWLP.

qUALCOMM 1

qualcomm 2

qualcomm 3

IMAPS 3D-ASIP in Burlingame CA DEC 13-15

Hope to see many of you at the annual 3D ASIP conference run this year by IMAPS and held at the Burlingame Marriott. Special attention was paid to bring new viewpoints on high density packaging technology. Some of the Highlight presentations include:

“Image Sensor Technology Evolution for Sensing Era” – Tetsuo Nomoto, Sony Semiconductor Solutions

“Future Landscapes for 3D Integration: From Interposers to 3D High Density” – Jean Michailos, STMicroelectronics

“3D Stacked Image Sensors from a Chinese Perspective” – Roc Blumenthal, SMIC

“Heterogeneous SoCs” – Professor Subramanian Iyer, UCLA

“3D Heterogeneous Integration of CMOS, InP, and GaN Devices Using Hybrid Wafer Bonding” – Andrew Carter, Teledyne

“Essentials of Thermo-Compression Bonding” – Hugo Pristauz, BESI

“ Extreme Wafer Thinning to 5 µm for Low Cost Via Last” – Dave Thomas, SPTS

“Chiplet Partitioning for 3D Many Core Architectures” – Denis Dutoit, CEA-Leti

For full program details and registration see:   http://3dasip.org/

For all the latest on advanced packaging, stay linked to IFTLE…