Insights From Leading Edge

Monthly Archives: November 2015

IFTLE 263 GIT 2015: High Density Laminates and Lasers – Kyocera, ESI, Suss

By Dr. Phil Garrou, Contributing Editor

The Georgia Tech High Density Interposer Technology conference (GIT) held a few weeks ago in Atlanta. Traditionally it has been a great place to compare and contrast high-density interposers in silicon vs glass vs laminate. In the next few weeks, we will take a look at some of the key presentations.

Kyocera – Adv Laminate Packaging Design Rules

Some of you youngsters might not recall that Kyocera the ceramics company expand into the high density laminates business when they purchased IBM Yasu production in 2005. In the late 1980s and early 1990s, IBM had been working on increasing the density of laminate packages by adding thin film layers with photo vias. They called these SLC (surface laminar circuits) Such high density BGAs were necessary for flip chip packaging to move to HVM. This all took off ~ 1992 when IBMs Yutaka Tsukada announced the technology for flip chip underfill and SLC circuits. Ground rules for the first gen SLC are shown below:

kyocera 1

 

Kyocera, seeing that higher densities and lower costs would not be coming from the ceramics business, made a bold move a decade ago when they bought the business from IBM. It is interesting to look at how the technology has advanced in a decade. Their latest ground rules are shown below.

kyocera 2

ESI – High Accuracy Lasers for Adv Packaging

Electro Scientific Industries (ESI) shared the latest results with their CornerStone ICP Series UV laser drilling system designed for use in Integrated Circuit Packaging applications.

They report positional accuracy of +/- 4 µm and 10 µm via capability with AR of 1:1.

esi 1

 

System capabilities are summarized below:

esi 2

In addition, the new system has a 25% smaller footprint than typical laser drills in HVM production today.

Suss Microtec – Eximer Laser Ablation for Adv Packaging

Suss shared their information about damascene processing of RDL using their eximer laser tool. The eximer laser is used with mask based projection with a field area of 35 x 35mm sq.

suss 1

 

Suss has developed a process flow for the stacking of high density RDL layers using non photo dielectrics.

Suss 2

For all the latest on 3DIC and other advanced packaging, stay linked to IFTLE…

IFLE 262 SEMICON Europa part 1; Update on G450, Panasonic Plasma Dicing; Thin Flexible Die Packages; Osram reviews LED packaging options

By Dr. Phil Garrou, Contributing Editor

G450 – 450mm wafer status report

For most of us, whether we work in the FEOL or in packaging, what we want to know about the attempted move to 450mm wafers is (1) if it will really happen and if so (2) when will it happen. Unfortunately, those answers are not yet clear. The recent G450C update at SEMICON Europa shared some new information, but we really cannot expect such a highly politicked program to ever come out and say “This just isn’t going to work.”

G450C is a collaborative consortium being run at the Albany nano tech center. Members are Intel, TSMC, GlobalFoundries Samsung and IBM. IBM, recall has sold off all production capability to GF, so this program, to them, is really a window on what can be done, not something they will commercialize.

The consortium goal is to have a “full flow 14/10nm process capability on line by 2016.” Of interest was their tool installation status report as shown below.

G450-1

In terms f process readiness, they reported the following:

  • Process Capability demonstrated on 98% 14nm process steps
  • Productivity: 80% of process tools can achieve 300mm equivalent or better (WPH)
  • Performance: Process tools at or near 300mm process targets
  • Suppliers can deliver HVM tools in 18-24 months after signals
  • Potential die cost savings of >30% achievable

Panasonic – Plasma Dicing

Panasonic reports that plasma based dicing is both damage free and results in more die per wafer due to the narrower dicing streets. Panasonic reports etch rates of 20m/min with their APX300 HVM tool.

panasonic 1

 

In addition, the fracture strength of the silicon is greatly increased.

panasonic 2

Front side and backside process flows are shown below.

panasonic 3

Fraunhoffer EMFT – Ultra-thin devices in flexible packages

Christof Landesberger of Fraunhoffer EMFT discussed their program to develop a processing scheme for embedding and interconnection of ultra-thin IC devices in flexible chip foil packages.

Target applications include:

  • Smart phones – Reduce package thickness
  • Healthcare and Wearables
  • Large area electronics; e. g. bendable displays and photovoltaic modules
  • Sensors on curved surfaces; to be adapted or integrated to machines, buildings, robots, housings
  • IoT applications

The key question to be answered is: “Will there be increased mechanical robustness of ultra-thin silicon die after embedding them in films?”

They report a strong increase in breaking force for ultra thin silicon after embedding as shown in the following weibull plot.

EMFT 1

 

Their process flow is sown below.

EMFT 2

In their micro controller demonstrator, 25um thick die are inserted in the cavities on the flexible substrate. The chips are covered by 10um of thin film dielectric and patterned and connected. The final packages showed no cracking or delamination after bending.

Their initial conclusions are hat rigid packages will be used for 50-150um thick die and such flexible packages will be used for ultra thin, 10-30um die.

Osram – review of chip interconnection in LED packages

Standard LED packages remain lead frame based. They are:

– easy to assemble by standard SMT reflow

– show the lowest manufacturing cost

– integral solder pad with excellent heat sinking

– single WB interconnect with proven reliability

osram 1

A multitude of packaging configurations are available and are being used.

osram 2

Die attach methods include conductive epoxies, non conductive silicones, sinterable materials and eutectic metal solders which all provide different thermal performance and cost and ae better compatible to different packages.

osram 3

 

Amongst other things, they conclude:

– When comparing acrylate based silver filled die attach to their epoxy counterparts Osram finds that the acrylates show less stable interconnection after solder heat treatment.

– Corrosive gasses like moisture, NO2 and SO2 diffuse through clear silicone encapsulant. Attack on copper is even worse. Hybrid silicones should be used as well as Ni diffusion barriers for copper gold plated surfaces.

For all the latest on 3DIC and other advanced packaging, stay linked to IFTLE…

IFTLE 261 Consolidation Continues; the Info on InFO?; RTI 3D ASIP

By Dr. Phil Garrou, Contributing Editor

Consolidation in our maturing industry is the ONE most important this that is happening to microelectronics in the 21st century because this is/will set the playing field for everything that follows. Many of you will be working for massive new companies soon whether you know it or not. This is something you really should be paying close attention to.

LAM Acquires KLA_Tencor

Consolidation continues with the recent announcement that Lam will acquire KLA-Tencor in a cash and stock transaction. The combined company will combine Lam’s capabilities in deposition, etch, and clean with KLA-Tencor’s capabilities in inspection and metrology. The combined company will have ~ $8.7B annual revenue making it roughly the same size as AMAT.

There will likely be opposition from some in the semi industry but probably less so than for the AMAT/TEL proposed merger since there is really little overlap in their focus markets.

Western Digital (WD) to Acquire SanDisk

The hard disk drive segment of our industry reached maturity ~ 2011. The hard disk drive business started in the 1960’s. Since then the > 200 companies who have been in the business competed on data density and latency and smaller form factors. Most of that industry has long since vanished through bankruptcy, mergers and acquisitions. As expected for a mature industry segment there are now 3 surviving manufacturers – Seagate, Toshiba and WD. Seagate acquired Samsung’s HDD business in 2011; Western Digital (WD) merged with Hitachi’s HDD business in 2011 and Toshiba acquired Fujitsu’s HDD business in 2009. This divided up the HDD market into WD 48%, Seagate 40% and Toshiba 12%.

Growth in mature industry segments requires acquisitions in aligned fields. Thus it could have been expected that WD would make a move into other types of data storage. WD’s acquisition of SanDisk gives the company an instant foothold in the global, non-volatile NAND flash memory market.

With the PC HDD business in decline due to both a weakening PC market and solid state drives (SSDs) making major inroads into that market, WD needed access to NAND storage technology both for laptops/desktops and to maintain competitiveness with NAND players in the enterprise space. This acquisition will allow WD to expand its participation in higher-growth memory storage segments.

It is reported that WD will have to raise $18.4 billion to finance the $19B SanDisk acquisition. In September WD announced that Chinese state-backed Unisplendour Corporation ( a subsidiary of Tsinghua Holdings ) would be investing $3.8B for 15% of WD “to help facilitate growth and future strategic initiatives.”

Sony Acquires Toshiba’s CMOS Image Sensor Business

Toshiba has announced that it will sell its image sensor business to Sony for ~ $166MM and pull out of the sensor business. For Sony, the acquisition of Toshiba’s image sensor business would further solidify its already dominant position in the industry where it already controls 40% of the market.

For those of us that have been following 3D with TSV since its inception, we recall that Toshiba was responsible for one of the early milestones, namely it was Oct 2007 that Toshiba announced the commercialization of their “chip scale camera module” which used “through chip vias.” Although it was only a one layer device, it marked the first mainstream commercial use of TSV in the silicon chip industry. By the way that was reported in one of my very first blogs in PFTLE, the predecessor to IFTLE which ran for several years in the now defunct Semiconductor International magazine. At that time PFTLE predicted that the CMOS imaging chips would eventually be broken up into functions and stacked. Sony brought that concept to commercialization a few years ago. [ see IFTLE 172, “IFTLE 172 Sony TSV Stacked CMOS Image Sensors Finally Arrive in 2013” ]

TSMC InFO

What’s the inside info on InFO. Try as you might, despite the significant press it has received, you cannot point to a publication that clearly outlines the process flow for TSMC’s InFO package. I have been asked whether it is chips first or chips last and all I could answer was: “Good question.”

Well, sources in Asia have leaked the following process flow to me. Although I cannot guarantee this is the actual TSMC InFO process flow (until Doug Yu confirms it) I’ll none the less show it to you since it is a quite interesting flow. The answer to the question for this process flow is chips first or interconnect last. Die are placed face up, pillars are plated on die and then molded. Routng to the package BGA balls is through mold vias (TMV). The wafer is then polished down to reveal tops of Cu pillars and standard” RDL is processed up from there. Ability for finer features appears to come from more planar starting surfaces and better controls of photo processes. IFTLE would guess that warpage is the major problem for this process flow.

InFO

3D ASIP Conference 12 Years and Going Strong

As it has for the last decade, 3D ASIP will once again close out the packaging conference season with its mid December 3DIC focused meeting outside of SF.

The 12th annual 3D Architectures for Semiconductor Integration and Packaging, or 3D ASIP as it has become known, will be held December 15-17, 2015, at the Sofitel San Francisco Bay Hotel in Redwood City, Ca. It is the longest running conference on 3DIC focused on commercialization and infrastructure.

The conference general chair and program coordinator is Dr. Philip Garrou, Microelectronics Consultants of NC. The technical co-chairs this year will be Professor Mitsumasa Koyanagi, Tohoku University, and Dr. Rama Alapati, director of packaging product management, GLOBALFOUNDRIES.

Matt Lueck of RTI International and Herb Reiter of EDA2ASIC Consultants have developed two half-day tutorials focused on temporary bonding/debonding and interposer design respectively. Presenters from CEA-Leti, HD Micro, Dow, TOK, Brewer Science, SUSS MicroTec and TOK will discuss the current state of the art in bonding and debonding technologies. The interposer design program includes presentations from Mentor Graphics, Cadence Design Systems, Ansys, E-System Design, Zuke, and eSilicon.

“This year, 3D ASIP will honor two trailblazers in 3DIC, Peter Ramm, Fraunhofer EMFT and Professor Mitsumasa Koyanagi, Tohoku University. They will be honored for their early pioneering work in the 1990s that set the stage for what we today know as 3DIC. Following the award ceremony, each recipient will deliver a short presentation on his group’s early work in 3DIC.

Screen Shot 2015-11-16 at 6.02.36 AM

 

Plenary presentations will be delivered by Brandon Prior, Prismark, discussing the status of 2.5/3D and other high density technologies; Rozalia Beica, Yole Développement, comparing and contrasting the new 3D memory architectures; and DC Hu, Unimicron, reviewing the transformation of substrate technology.

Screen Shot 2015-11-16 at 6.02.45 AM

 

The nine invited sessions cover topics including: Memory Stacks become Reality, Products and Production in the 2.5/3D Infrastructure, Equipment and Metrology, High Density Packaging without TSV, and Heterogeneous Integration.

Key presentations will include Hynix, Micron, Tezzaron and Toshiba discussing their new 3D stacked memory products; Xilinx/SPIL, Amkor and TSMC discussing their non TSV high density solutions; AMD discussing the commercialization of Fiji graphics modules with HBM memory stacks. Dan Green of DARPA discussing their DAHI heterogeneous integration 3D platform and Sony discussing their new stacked image sensor technology.

For more information on the conference agenda, visit the conference website at www.3dasip.org.

For all the latest on 3DIC and other advanced packaging, stay linked to IFTLE…

 

IFTLE 260: IMPACT Taiwan 2015 – Altera, iNEMI, SPIL

IMPACT Taiwan

The IMPACT conference claims to be the largest gathering of packaging and PCB professionals in Taiwan. It is organized by IEEE CPMT, ITRI, IMAPS Taiwan and the Taiwan Printed Circuit Association. The Technical Chair for IMPACT 2015 is CT Liu, of ITRI.

Altera

Charlie Lu of Altera discussed his reasoning for the new term “ViB” to describe Via-interconnect Ball-Grid-Array.

Traditionally we all learned that there are three types of interconnect: WB, FC and TAB. Lu defines ViB as a ball-grid-array package whose chip-to-package electrical interconnect is achieved by direct interconnection through the vias. Some wafer level packages and fan-out packages, he contends, belong to this category.

Traditionally, chip-to-package interconnect is done by wire bonding (WB), flip-chip bonding (FC), and to some extent, tape-automatic bonding (TAB). There are two steps for via-interconnect process: (1) via formation and (2) via filling or via bottom and sidewall metallization. Via formation could be done by laser ablation or photolithography process, or by other techniques. Via filling and metallization is usually done by mixed techniques of Ti/Cu sputtering and Cu or Ni/Cu plating. Thus, the process of via-interconnect technology is unique from that of WB, FCB, and TAB.

Lu compares the interconnect technologies in the table below.

IFTLE260_fig1

The industry has begun using the term WLP for so called fan in packages fabricated on wafer, “FO-WLP” for fan out packages formed from reconstituted wafers, and “FO-PLP for fan out packages formed by panel level processing. Lu correctly points out that all packages except fan in WLP are FO packages. He prefers the term ViB as a category to cover both FO-WLP and FO-PLP. If it is necessary to spell out the process applied for a given ViB, an additional suffix could be added, like ViB-D, D stands for “dry”, meaning that the ViB is made by wafer Fab-like process. Likewise ViB-W means the ViB is processed by “wet” process, i.e. PCB-like process. It is no longer necessary to emphasize a package is fan-out or fan-in, because WLP already implies itself a fan-in package, apart from WLP, all others are fan-out packages.

Those of you who follow IFTLE closely know I’m a stickler for nomenclature and not a fan of terms like 2.1D which have no real meaning or “interposer” since all packages are interposers. In this case Lu is attempting to clarify the categories and I can see some logic in his presentation. Whether we all pick up on ViB or not in the end will depend on all of you.

iNEMI

iNEMI updated their program on “recent trends in package warpage”. Below we see a comparison of the warpage for various packages with various pretreatments.

IFTLE260_Fig2POP:

  • Dynamic warpage of POP package varies according the construction.
  • There is insignificant dynamic warpage difference between “As I” vs Bake and MET.
  • Majority of the POP package received kept the high temperature warpage below 100um.

POP Memory:

  • There is insignificant dynamic warpage difference between “As Is” vs “Bake” and “MET”.
  • Majority of the POP package received kept the dynamic warpage below 100um.

FCBGA:

  • There is no observable dynamic warpage difference between As Is vs Bake.
  • Different Lid attachments can yield different dynamic warpage characteristic.
  • Ceramic substrate with Lid demonstrate similar dynamic warpage behavior as like organic substrate but with lower magnitude for the package size considered.

PBGA:

  • The effect of “Bake” and “MET” on dynamic warpage is more apparent in PBGA package.
  • The “Bake” generally shows lower high temperature while “As Is” and “MET” shows the tendency to elevate the warpage by 20-50um. Take note that this depends on the mold material used.

SPIL

Max Lu, deputy Director of SIliconware, discussed WLP innovations such as molded WLCSP, Fan-Out WLP and NTI (No TSV interconnection).

Molded WLCSP

Potential for greater board level reliability.

Passes: component level – 1000hr TCT ; 96hr HAST and 1000hr HTS PASS

Board level – 1000x TCT cycle and 30X Drop test.

IFTLE260_fig3Fan Out PoP

Thinner FOWLP results in thinner PoP packages. Passes: component level – 1000hr TCT ; 96hr HAST and 1000hr HTS PASS and Board level – 500x TCT cycle and 30X Drop test.

IFTLE260_fig4No TSV Interconnect (NTI) Platform

SIliconware was one of the first to describe a chips last interconnect technology which they call SLIT (see IFTLE 215, “STATS Acquisition; Will SLIT replace TSV?”).

SPIL proposes the merits of NTI Platform are:

  • Shortening interconnection distance than traditional TSV interposer.
  • Reducing interposer process cost without TSV related process cost.
  • Processing by all existing MEoL/BEoL equipment.

The following shows the unit operations done by SPIL and those done in foundry.

IFTLE260_fig5

For all the latest in 3DIC and other advanced packaging stay linked to IFTLE…