Insights From Leading Edge

Monthly Archives: June 2017

IFTLE 341 Topics from ECTC 2017: Thin Die Handling; IPD on Glass

By Dr. Phil Garrou, Contributing Editor

This week, we will begin looking at key presentations from the 2017 ECTC in Orlando.

General Comments:

There were a total of 335 presentations in 36 oral sessions at this year’s ECTC. Since 2012 attendance is up ~ 50% to 1438 and professional development course attendance is up from 83 to 203! IFTLE feels this follows the trends that we have been sharing with you for years, i.e. scaling is slowing down and more and more front end practitioners are moving to the back end to develop customized products.

This in turn necessitates attendance at packaging conferences such as ECTC and necessitates front end engineers taking the development courses available at ECTC.

I am personally tired of going to Orlando, that probably just a personal preference since I have been attending since 1985. As an aside, if the meeting gets much larger it will have to move to convention sites since current hotels will not be able to fit the group into their ball rooms for lunch.

In terms of technical content, “fan out WLP” has moved into the forefront in terms of the number of papers addressing this topic, but there were still lots of papers addressing 2.5D, interposers, copper pillars, WLP and bumping, thinning, dicing and molding.

BESI – Thin Die Handling

The importance of high yield thin die handling is getting more and more crucial for advanced packaging options. This applies for stacking with wire bonds/die attach film (DAF), and also for TSV ). Besi Switzerland and IMEC addressed this issue in their paper “Key Properties for Successful Ultra Thin Die Pickup”.

Die stress levels during peeling can still be significantly high, and can lead to die cracking or pickup failure. Avoiding high stress levels involves an understanding of the dynamic interaction of die, wafer tape and the die ejection system. From die bonding point-of-view, four key properties are most important for a successful pickup of thin dies, as shown below : bending stress during pickup, die strength, edge peel force and bulk peel force.

besi 1

Starting the peel process at the die edges is the most critical moment during peeling. Dicing should be done in a way, that the heat-sensitive die attach film on the die backside is not affected. Otherwise, an increased adhesion can occur at the die edges.

Besi concludes that multi stage, multi disc or multi pin ejectors are required for proper handling. These are shown in the fig below. Ejectors with finer mechanical structures like the multi disc ejector result in the lowest stress values.

besi 2

For ultra thin dies, UV curing of the adhesive layer after dicing is very common. This method enables high adhesion during dicing (5 – 20 N/25mm), and reducing adhesion for pickup (< 0.2 N/25mm). In general, the bulk peel force is smaller than the edge peel force. In other words, once the die edges have started to peel off, the rest of the die will peel off quite easily.

The speed of moving ejector parts (needles, discs, stages) that activate the peeling process has to be adapted to the wafer foil properties and die thickness. The higher the required peel energy, and the thinner the die, the lower the process speed must be adjusted.

ASE / Marvell – Is it Time for IPD?

As mobile devices become more functional, they are required to accommodate more frequency bands and meet ever smaller form factor requirements. IPD technology (integrated passive devices). IPD offer smaller for factor and higher performance for RF solutions. For filters, high Q inductors are the key. Glass is a good candidate for substrate because of its low dielectric loss, high thermal stability, high resistivity, and adjustable CTE. Glass also provides the advantage for potential cost effective solutions.

ASE Kaohsiung working with Marvell Santa Clara addressed “Glass Based 3D-IPD Integrated RF ASIC in WLP.”

In a glass base 3D-IPD integrated with RF ASIC the glass wafer acts as a bottom wafer, while the ASIC die is flip chip attached to the frontside of the glass wafer. The ASIC wafer comes with the Cu pillar bump.

The process starts with TGV metallization and filling processes, then, carry on the standard wafer level IPD process to complete the frontside structure. The frontside structure consists of capacitor, re-distribution layer (RDL), and under bump metal (UBM). Then, the wafer is shipped to assembly site for wafer level assembly. Wafer level assembly processes are the chip-to- wafer, for the RF ASIC to attach to bottom glass wafer, and wafer level molding process. After assembly, follows by the backside process to form the 3D inductor and ball pad. Backside process includes glass wafer thinning, and backside RDL and passivation processes. Next step is ball mount and singulation to form the WLCSP. The process flow is shown below:

Marvell 1

Reliability tests confirm that results of SAT and open/short are good, and destructive analysis also show no disconnection issue between TGV and double side metal traces. The high-Q 3D inductor performance was verified through measurement results with two port S-parameter measurement methods with the demonstrated Q factor measured above 60 at 1 GHz for a 3.5nH inductor. 

What’s the Required Size for a Real Industry Driver ?

Recent blogs like IFTLE 322 “…A Period of Uncertainty” have led to questions about what would a really big industry driver look like?

As many of you know, I really don’t consult about the size of markets that currently don’t exist simply because I know, as an ex supplier, that no one, and I really mean no one, knows those answers. I could overwhelm you with example after example of markets being projected too large and too early (It always seems to go in that direction…wonder why??)

When we look at our industry and try to anticipate what will come next we are really always comparing to the two big boys …semiconductors and displays. Those are gigantic, albeit mature, electronic industry segments. I would think these would be the benchmark. I obtained some recent numbers from my friends at Prismark for these two segments, just so we could keep things in perspective, and they are:

Total Semiconductor value in 2016 – $339Bn

Total Wafer Fabrication Value 2016 (excludes chip design, test, package, and profit): $129Bn

Total area processed – 8.2M m2

Total Display 2016: $135Bn (The display market is the panel market, not finished TVs, monitors, etc.)

Total area processed – 185M m2

A few years ago many were betting on Solar to join this group but it did not happen. Ask AMAT how that bet worked out for them. My IFTLE take was that any segment that needed to be propped up by Govt support and needed to have its rivals (coal, nuclear, oil) persecuted by the Govt to get their foot in the door, just was not going to make it long term. Don’t get me wrong, solar works, but just no where near the 11cents/KW hr that I buy electricity at now in NC. We all know that in the end “price is king”.

Next in line was / is IoT (the internet of things). Projections for this market have also bordered on astronomical. In 2010, Ericsson estimated that there would be 50B connected devices by 2020. Cisco soon agreed and then Intel been touting the 50B number since 2014.

Recently Ericsson has revised its estimates down to 28B connected devices by 2021, McKinsey believes will be between 20 – 30B devices by 2020 and Gartner says 21B connected devices by 2020. [link]

These numbers are certainly still large enough to be a major driver, but IFTLE is still doubtful of such huge numbers, how quickly we will reach them and more so of IoT’s overall impact on the advanced packaging market specifically.

I can recall being at meetings where 2.5 / 3DIC were being predicted to be instrumental for implementation of IoT. Now that’s when I really knew that exaggeration had gotten out of hand. As IFTLE has said before, maybe some medical applications will allow for high end packaging solutions, but NOT the everyday sensing that most techies are envisioning will generate the massive IoT data in the future. Those will be low cost solutions with the ultimate low cost packaging for sure.

Fear not, electronics isn’t going away, a new driver WILL eventually appear on the horizon and our industry will continue unabated into the future. That I can promise you…

For all the latest on Advanced Packaging, stay linked to IFTLE…

IFTLE 340 Can Unity Help Advanced Packaging Progress?

By Dr. Phil Garrou, Contributing Editor

Most would agree that in order for advanced packaging solutions to lead the industry and fill the role previously held by semiconductor scaling it must see advances in infrastructure building and significant focus by all players to lower costs. For sure, this will take total industry unity. With this cheap play on words we are led to todays topic…

A few blogs ago (see IFTLE 332: “Wither Goest the Toshiba NAND business; Unity SC”) we mentioned that Fogale’s semiconductor division had become UnitySC. This week, we’d like to take a closer look at what this means to the advanced packaging industry.

UnitySC launched at SEMICON West 2016

GillesCEO, Gilles Fresques explained through Development and acquisitions the former Fogale has built a solid foundation in both metrology and inspection for the semiconductor and related industries. Fogale metrology technology for advanced packaging applications began with R&D efforts in 2000, followed by commercialization in 2006. They acquired the assets of Altatech Semiconductor from Soitec in 2016, to combine with their 2D and 3D inspection capabilities and metrology offerings, and thus have created a process control package for advanced packaging solutions such as fan-out wafer level packaging (FOWLP), 2.5D interposers, 3D TSV technology, MEMS, and more. UnitySC launched in July 2016, combining FOGALE nanotech Group’s acquired Altatech assets with the former FOGALE Semicon division. Their headquarters are in Grenoble FR and they currently employ > 110 staff, 80% of which are engineers..

For those who wonder about company names, Fresquet, noted that the name UnitySC was inspired by the Unified Yield Equation, which takes parametric data and defect density data to predict yield.

They currently have over 130 systems in the field broken down as follows:

Unity 1

Their technology is basically optical based covering the following:

unity 2

For instance they report that their “shown below TMAP Series” has the following attributes:

unity 3

The slide below shows “nail height deviation across a wafer for 3DIC structures.

unity 4

The following slide shows thickness and TTV measurement across individual layers of a FOWLP stack. The Measurement is performed by TMap Series (Time domain IR Interferometry).

unity 5

For all the latest on Advanced Packaging, stay linked to IFTLE…

IFTLE 339 Will 450mm Equipment Keep Si the Fine Feature WLCSP Solution?

By Dr. Phil Garrou, Contributing Editor

On the eve of the formal ECTC presentations, the conference held a panel session pitting wafer processing vs panel processing for the low cost production of “high density” fan out WLP.

“In the left corner representing wafer processing are TSMC’s Doug Yu and Nanium’s (Amkor’s) Stefan Krohnert and in the right corner representing panel processing are Deca’s Tim Olsen and IZM Fraunhoffer’s Rolf Aschenbrenner …the referee for this match, representing user groups, is Qualcomm’s Steve Bezuk……Let’s get ready to rumble…”

 

Wafers vs panels

 

Basically the question is: if and when will panel processing tools be fully developed and capable of manufacturing and testing fine L&S (i.e 2um) fan out packages produced in yields similar to silicon wafer lines. If/when this happens, how will silicon foundries counter such results.

Let’s look at some of the key points made by the above parties during this hour plus discussion.

Wafer Processing

– [Yu] InFO leverages his companies core business – i.e Si wafer processing

– [Krohnert] capital for FOWLP is already depreciated whereas there are no panel level processing in place so new capital will have to be expended on newly developed equipment.

– [Yu] Inspection of wafers is a well known process whereas panel inspection has to be developed

– [Yu] technology must be face down so you can package chips of different heights (polish) Face up panel tech cannot do this. …also passives cannot be thinned like chips can be.

– [krohnert] “..a fully loaded high yield wafer line might be cheaper than a partially loaded low yield panel line” he went on to explain that if panel processes FOWLP only reached required yields for low-medium I/O devices there are other ways to manufacture such packages and the remaining “sweet spot” panel business may not be enough to fill panel lines.

– [Yu] landed a solid right to the jaw of his opponents when asked about what the silicon foundry response will be if indeed panel processing is developed and is yielding fine L&S. His response was that TSMC is part of the 450mm development team and although the equipment already developed and purchased by TSMC does not look like it will be processing leading edge node wafers any time soon, such tools and processes could easily be applied for 1-2um features. “This would be low hanging fruit for such tools and processes.” Yu then indicated that a possible plan is to make such a move when they feel the panel processing is ready. He cautioned, though, that this will produce a major oversupply of capacity.

The Referee:

– [Bezuk] the main volume for WLFO is currently for 3-5mm pkgs

– [Bezuk] InFO is currently the thinnest package you can buy today

– [Bezuk] equipment for panels is being developed but having problems like shedding particles during startup which is affecting yield

– [Bezuk] simple FOWLP like codec chips use a single layer of RDL, if multi layer RDL is required FOWLP becomes too costly

– [Bezuk] materials costs for both technologies are ~ 50% of the total.

Panel Processing:

– [Aschenbrenner] panel level processing shows a sweet spot for small-medium I/O devices

– [Olsen] projects a 30% cost advantage for large panel processing

– [Olsen] working with ASE on 300mm round today and panels in the future

– [Aschenbrenner] agreed that panel processing cost reduction will only be achieved when yields are close to the same as for wafers

– [Aschenbrenner] panels appear to need class 100 clean area to achieve yield on fine lines

– [Olsen] agreed that panel equipment is taking a long time to “get clean”

IFTLE concludes that panel processing is still about the “promise” of lower costs. As such it certainly is worthwhile to do the work to find out if this can be put in place.

The major new news item from this panel was certainly TSMC bringing up the potential use of 450mm wafer equipment which would continue to leverage their core business/technologies, if and when it is economically required. Was this shared insight or a clever bluff?

Bar Cohen term

As a point of clarification, Dr. Avi Bar Cohens term of President of the IEEE Electronics Packaging Soc. (EPS) will begin Jan 2018.

For all the latest on advanced packaging, stay linked to IFTLE…

IFTLE 338 Bar Cohen to Take Over IEEE EPS (CPMT); Ho and Tu Win IEEE Packaging Field Award

By Dr. Phil Garrou, Contributing Editor

As we noted in IFTLE 336, the IEEE packaging society, which has been known as IEEE Components, Packaging and Manufacturing Technology society since 1993 is about to be renamed the IEEE Electronics Packaging Society (EPS).

Bar-Cohen takes over IEEE CPMT

At the Board of Governors meeting just held in conjunction with the 2017 ECTC conference, Avram Bar Cohen was elected as the first President of the newly named society.

Bar CohenDr. Bar-Cohen is currently a Principal Engineering Fellow at Raytheon Corporation – Space and Airborne Systems. Bar-Cohen recently completed six years as a Program Manager in the Microsystem Technology Office at the US Defense Advanced Projects Agency (DARPA). Before that he held several University positions including Chair of Mechanical Engineering at the University of Maryland and Director of the University of Minnesota Center for the Development of Technological Leadership.

He is an internationally recognized leader in thermal science and technology. His current efforts focus on embedded cooling, including on-chip thermoelectric and two-phase microchannel coolers for high heat flux electronic components, thermal control of directed energy systems, and studies of wireless power beaming.

Bar Cohen is a Fellow of IEEE, and is a past Editor-in-Chief of the CPMT Transactions (1995-2005). In 2014 he was honored by the IEEE with the prestigious CPMT Field Award and had earlier been recognized with the CPMT Society’s Outstanding Sustained Technical Contributions Award (2002), the ITHERM Achievement Award (1998) and the THERMI Award (1997and ASME’s Heat Transfer Memorial Award (1999), Edwin F. Church Medal (1994), and Worcester Reed Warner Medal (1990).

Ho and Tu win IEEE Electronic Packaging Field Award

The IEEE CPMT field award was established in 2002 and is presented yearly for “…meritorious contributions to the advancement of all aspects of device and system packaging including microelectronics, optioelectronics, Rf/wireless and MEMS. This is the highest level packaging award in all of IEEE. To view a complete list of past recipients see http://www.ieee.org/awards.

This year Paul Ho, Director of the Interconenct and Packaging Laboratory of the University of Texas and King-Ning Tu professor at National Chio Tung Univ of Taiwan have received this award for “contributions to the materials science of packaging and its impact on reliability, specifically in the science of electromigration” . The patented innovations of Ho and Tun, while at IBM earlier in their careers, overcame the roadblocks caused by electromigration that limited high performance chip reliability. Addressing Al and Cu wire connections and solder bumps their work provided the foundation to understand the science of observed failure mechanisms and guided high volume chip designs and manufacturing processes. They also addressed reliability issues of low-k dielectrics and tin whiskers.

Ho (center) and Tu (right) receive field award from current IEEE CPMT President Jean Trewhella

Ho (center) and Tu (right) receive field award from current IEEE CPMT President Jean Trewhella

For all the latest in advanced packaging, stay linked to IFTLE…

 

IFTLE 337 Will Samsung displace Intel in 2017?; Foundry Samsung – A reality; I-Cube

By Dr. Phil Garrou, Contributing Editor

Samsung will soon displace Intel

IC insights has announced that if memory market prices continue to hold through 2Q17 Samsung could displace Intel, which has held the #1 semiconductor sales ranking since 1993.

“Using the mid range sales guidance set by Intel for 2Q17, and a modest, yet typical, 2Q sales increase of 7.5% for Samsung, the South Korean supplier would unseat Intel as the world’s leading semiconductor supplier in 2Q17 (see below). [link]

IC insights 1

As we have discussed in IFTLE 332 “Wither Goest the Toshiba NAND Business…” Toshiba is in the process of selling off their memory business to raise cash. At that point all 6 of the 1993 top 10 semiconductor suppliers from Japan will be out of the top 10.

IC insights 2

Samsung Foundry Separates

Late last fall reports from Korea indicated that Samsung would likely spin off a foundry business unit. [link]. “Samsung Electronics’ System LSI business division is largely divided into four segments; system on chip (SoC) team which develops mobile APs, LSI development team which designs display driver chips and camera sensors, foundry business team and support team. According to many officials in the industry, Samsung Electronics is now considering forming the fabless division by uniting the SoC and LSI development teams and separating from the foundry business.”

IFTLE has commented many times over the past 5 years that if Samsung ever focused on a foundry business they would immediately become the #2 foundry supplier and could soon compete with TSMC for the number one spot.

On May 12th Samsung finally announced it will spin off its foundry operation from the System LSI division to create an independent business unit [link]

If Samsung really wants to run this is a seperate entity and compete head on with TSMC, IFTLE recommends they take their packaging capabilities withthem to the foundry because that’s the only way to compete with CoWoS and InFO etc.

Samsung 14nm Network Processor uses 2.5D “I-Cube”

Samsung reported that they have taped out their 14nm Network Processor close collaboration with eSilicon and Rambus [link]. This is based on Samsung’s 14LPP (Low-Power Plus) 3D FinFET process eSilicon’s ASIC and 2.5D design capability and IP solutions, and Rambus’ 28G SerDes solution.

Samsung announced that they will “…keep developing (our) network foundry solution to be a ….total network solution provider aligned with (our) process roadmap from 14nm to 10nm to 7nm.”

On the packaging front, they report that they have named their newly developed full 2.5D turnkey solution, which connects a logic chip and HBM2 memory on an interposer, as I-CubeTM (Interposer-Cube) solution. This 14LPP network process chip is the first product that Samsung applied I-CubeTM solution together with Samsung’s HBM2 memory. The I-CubeTM solution will be essential to network applications for high-speed signaling, and it is expected to be adopted into other applications such as computing, server and AI in the near future.

e-Silicon aded “Our HBM Gen2 PHY, custom flip-chip package design and custom memory designs also helped to optimize the power, performance and area for the project.”

HBM2 Interposer with Silicon or Laminate?

At the Hot Chips conference late last summer Samsung in a proposal for low-cost HBM, Samsung outlined plans to lower the complexity and thus cost of HBM technology.

The savings will come from solutions to the listed challenges.

– fewer TSV will make the stacks easier to manufacture and interconnect

– removing the buffer die will make the stack simpler, but the buffer die customization was one of the original tenants for the memory stacking concept so this is confusing.

– moving from a silicon to a laminate interposer on first glance should reduce costs, and the rest of the industry has certainly listed this as an option, but it is still unclear the impact this will have on performance.

Samsung 1

 

For all the latest in Advanced Packaging, stay linked to IFTLE…