Insights From Leading Edge

Monthly Archives: January 2014

IFTLE 178 IMAPS 2013 continued: Xilinx/SPIL; Nanyang/IME; Cannon, AT&S

Finishing up our look at the fall 2013 IMAPS meeting.

Xilinx / SIliconware

We are all aware of the Xilinx / TSMC / Amkor partnership to develop the first comemrcial 2.5D FPGA module. Since that announcment in late 2010 there have been rumors about Xilinx looking for lower cost second sources. Last summer, Siliconware (SPIL) announced the instillation of a dual damascene line for fabrication of high density interposers. [ see IFTLE 158, “2013 ConFab part 2: Amkor and Siliconware”]

At the fall IMAPS meeting Xilinx and SPIL  shared results from their progra to 2.5D 28nm FPGA program.

The high performance FPGA die (it appears manufactured by UMC)  is a 4 slice 28nmchip mounted on a 25 x 31mm 100um thick Si interposer with 45um pitch microbumps. The interposer is assembled onto a 45 x 45mm organic BGA with 180um C4 bumps. The figure below shows the structure in cross section. SPIL is manufacturing the interposer and doing the assembly.

SPIL 1

Nanyang Univ / IME

Copper TSV exert thermo-mechanical stress on silicon due to the CTE miss match. This stress can result in variability of the device mobility and mechanical reliability issues. This can be alleviated by using a oxide liner that has a lower elastic modulus such as some of the “low-k” dielectric materials (black diamond). This would reduce the keep out zone and in addition such materials will lower the parasitic capacitance of the circuit.

These Singapore institutions looked at the use of low-k carbon doped oxides to serve as a more compliant layer TSV insulator layer due to its lower modulus (7.2 GPa vs plasma enhanced TEOS with modulus of 75GPa) . The FEA analysis shown below indicates that the low-K materials “should” lower the stress exerted by the Cu TSV on the silicon. Micro raman spectroscopy on samples verifies that the use of a  low-k liner results in less compressive stress exerted by the Cu TSV on the silicon between the TSV.

IME 1

CV measurements show that the capitance is reduced by 26% ( k of peteos = 3.9 vs low-K of 2.88).

[ IFTLE sees no discussion of mechanical reliability comparisons. Since low-k is known for being very fragile, I wonder whether the TSV stress will fracture the low-k material which would show up as less stress on the silicon?]

Cannon

Cannon, normally associated with front end (FE) lithography addressed “Lithography Process Optimization for 3D and 2.5D Applications.” Cannon has developed the FPA-5510iV and FPA-5510iZ TSA steppers to support high density processes and to support implementation of 2.5 & 3D technology. A comparison of their specs is shown below.

cannon 1

In a typical backside manufacturing process, patterned wafers are bonded face down to a support wafer before being ground and thinned. The bonding and thinning process causes shape distortion in the wafer. Downstream processes require litho that produces patterns that can overlay such distortions with high accuracy. These systems also employ vacuum assist functions to compensate for large wafer warpage.

AT&S / EPCOS

In July 2013 AT&S (Austria) and TDK-EPCOS announced that they were cooperating on embedding technology to allow standards development and increased customer acceptance [link].

The embedding technology developed by AT&S is shown below:

AT&S 1

The authors propose that the use of PCB real estate is lowest for an emedded component and showed the following comparison to a 3x3m die + 10 resistors packages with a QFN (45mm sq)vs flip chipped (21mm sq) vs embedded 916m sq).

AT&S 2

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 177 Monolithic 3DIC ; Merck acquires AZEM; Intel Invests in SBA Materials; Xilinx Semi Award

Will Monolithic 3D IC Technology become a real competitor to 3DIC with TSV

The language of 3DIC is certainly a bit confusing. In the past I have made fun of EE Times reporters confusing BE finfet technology with TSV based 3DIC. [ see IFTLE 62 “3D and Interposers – Nomenclature confusion; Equipment Market Shift to Pkging Continues” ].

There is also confusion brewing concerning TSV based 3DIC and what can be called monolithic 3DIC.

Back end (BE) 3DIC with TSV is a parallel process where each layer or stratum is fabricated with TSV separately and subsequently joined. Monolithic 3DIC is a front end (FE), sequential process where a second layer of silicon is deposited or grown onto the first finished chip and the transistor and interconnect processes are repeated.

The issue with the sequential process has always been the temperatures required to deposit or grow a second crystalline layer of silicon on top of the IC. It is difficult to overcome the 400°C process temperature limitation imposed by the use of aluminum and copper IC interconnect  when creating the second layer of silicon and implanting and annealing the second layer of transistors.

For instance the early work of Akasaka-san at the LSI R and D Labs of Mitsubishi Electric described the basic concept of monolithic 3DIC as shown below.

Fig 1

Akasaka, Y., Nishimura, T., Concept and Basic Technologies for 3DIC”, IEEE Proceed., nt. Electron Device meeting, vol. 32, 1986, p. 488

It was clear nearly 30 years ago that “to fabricate 3-D IC successfully, a wafer temperature during the crystallization should be kept low enough not to destroy the device or not to change the device performance fabricated in the beneath layer”. They proposed that the key was to “to control the thermal profile in the polysilicon layer” and Akasaka proposed “…a laser or an electron beam recrystallization is thought to be a suitable method due to their effective low process temperature.”

Certainly laser annealing has come a long way since the first prognostications of Aksaka.

fig 2

Zvi Or-Bach of Monolithic 3DIC is now proposing the use of smart-cut® for the formation of the second strata (and not amorphous silicon crystallization) with shielding layers to protect the first strata interconnect, as shown below.

fig 3

Will these advances allow monolithic 3DIC to compete with TSV based 3DIC ? Some say that sequential 3D technology can be much less complex and expensive to implement,…maybe we will be finding out soon.

CEA-Leti recently announced an agreement with Qualcomm to assess the feasibility and the value of sequential 3D technology [link]. The program between Leti and Qualcomm reportedly “..will  allow the critical assessment of this technology in the context of practical applications, further evaluating the potential impact of this sequential 3D technology for future industrialization.”

In early January Taiwan’s National Applied Research Laboratories (NARL) said can use monolithic 3D-IC technology to make “super chips” [link].They reported that it “enables 150 layers of chips to fit in space once used to stack a mere two chips using traditional technology while helping improve signal propagation speed and provide a higher order of connectivity.”

[ IFTLE note – “150 layers is likely the silliest marketing statement  I have seen since IBM and 3M released the headline claiming “3M and IBM today announced that the two companies plan to jointly develop …. stacked silicon towers…..commercial microprocessors composed of layers of up to 100 separate chips.”[link]

At the recent IEEE  IEDM meeting Taiwan’s National Nano Device Laboratories described  fabricating a monolithic sub-50nm 3D chip, which integrates high-speed logic and nonvolatile and SRAM memory. To build the device layers, the researchers deposited amorphous silicon and crystallized it with laser pulses. They then thinned and planarized the silicon, enabling the fabrication of ultrathin, ultraflat devices. The monolithic 3D architecture demonstrated 3-ps logic circuits, 1-T 500ns nonvolatile memories and 6T SRAMs with low noise and small footprints.

Merck to Purchase AZ Electronic Materials (AZEM)

Consolidation in the microelectronics industry continued in Dec when Merck agreed to buy AZ Electronic Materials SA (AZEM) for $2.6 B [link]. Merck which controls the liquid crystal market for flat panel displays now becomes on the largest photoresist suppliers.

Intel Invests in SBA Materials

Startup Santa Barbara Materials (SBA Materials) with patented “Liquid Phase Self Assembly” technology is a nano–‐structured, advanced siloxane based approach to porous compositions that could have use in electronics, optical and energy storage arenas. IFTLE has been keeping an eye on SBA for several years since they appear to be the only SiO2 dielectric choice for Low K IC chips that can actually deliver on sub 2.5 Dk [ see “Low-k dielectric family introduced by SBA Materials” and IFTLE 138,  “Foundry Intel; 300 mm Capacity; SBA Low-K Oxide”].

SBA has recently closed  a series B financing round which included Intel Capital as one of the investors. [link] CEO Bill Cook indicates that more will be coming on the strategic investor front “soon.”

2013 Semi Award to Xilinx

SEMI has announced that Xilinx is a recipient of the 2013 SEMI Award for North America. The development team at Xilinx was recognized for their commercialization of the silicon interposer “which provides more than two orders of magnitude increase in die-to-die bandwidth per watt. This achievement effectively addressed both challenges of decreasing power and increasing bandwidth for advanced digital ICs. It also decreased latency to only 20 percent for standard input/output connections. Initially announced in 2011 and first shipped in 2012, the incorporation of a silicon interposer, also called 2.5D technology, delivers performance and power requirements dramatically improved compared to standard packaging” Liam Madden  accepted the award on behalf of the Xilinx which includes Trevor Bauer, Liam Madden, Kumar Nagarajan, Suresh Ramalingam, Steve Trimberger, and Steve Young.

Semi stated that “Xilinx use of a silicon interposer in their packaging of advanced FPGA represents a major innovation in assembly and packaging technology and provides a learning curve for the many of the technologies that will be needed for high-volume production of 3D-stacked die…. While the elements of redistribution layers on silicon, TSVs, and microbumps were already available [they had not been combined commercially] to provide this high bandwidth, low power packaging solution.”

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 176 2013 IEDM; Micron, TSMC, Tohoku Univ., NC State, ASET

By Phil Garrou, Contributing Editor

The 2013 IEEE IEDM was held in WDC the 2nd week of Dec. Let’s take a look at some of the key 3DIC presentations there.

Micron

Chamdrasekaran addressed challenges in future memory manufacturing for both front end 3D NAND and back end 3DIC stacking. He does not see any of the newer memory technologies making inroads against conventional DRAM or NAND in the next decade.

micron

Micron proposes that “…3D integration faces several equipment and fundamental technology challenges. Bonding dicing and packaging equipment have not evolved to the same level of control capability as front end equipment technologies.” In terms of fundamental technologies they see challenges in thin wafer handling, thermal budget management and stress constraints.

New techniques will be required to test the silicon interposers with TSV and 3D devices keeping  test costs low. Ability of probe technology to handle finer pitch TSV tips, eliminate probe created scrub mark defects, and handling of thinned wafers are all viewed as significant challenges.

3D stacking also introduces intra die defects due to several processing steps, which needs to be detected and understood. Thinned die and multiple materials with different thermal expansion coefficients also create thermo-mechanical problems that can lead to test and probe issues.

TSMC

Doug Yu and the TSMC packaging group described the integration of Rf chip and array antennas using FO-WLP packaging technology.

Low dielectric constant and thick substrate are two essential factors for a wide-bandwidth patch antenna. The band for 60 GHz system in US is from 57-64 GHz, which can be achieved with mold cmpd. (MC) dielecric constant of 4 and MC thickness of MC, h1, is chosen to be 300 μm

LTCC and PCB substrate are currently used for integration of mm-wave antenna with RF chip but there are power consumption issues. The high power dissipation results from interconnect losses from chip to antenna through bumps or balls. “Antenna-on-chip” is other proposed solution to reduce the signal loss, but silicon is lossy and degrades the antenna efficiency.

The TSMC antenna structure is depicted in the figure below. It consists of two RDLs sandwiching mold compound. One is for patch radiator with the size of w × l = 890 × 1020 μm2 on the top of MC and the other one is for feeding structure embedded in the polymer on the bottom of MC as shown in the figure.

TSMC 1

This FO-WLP array antenna integrated technology has been approved for millimeter wave system applications. High gain array antennas of 14.7 dBi, low-loss interconnects of 0.7 dB and small form factor of 10 × 10 × 0.5 mm3 can be achieved with the technology. So called “InFO-WLP” is reported to be an excellent technology for system scaling of low power millimeter wave applications on high-data-rate wireless communication.

Comparison of power savings, parasitics and system performance/size is shown below.

TSMC 2

NC State

Paul Franzon presented on applications and design styles for 3DIC. When comparing 2.5D interposers vs 3DIC stacking . While 3DIC technology offers a substantial advantage in interconnect power efficiency and bandwidth density (effective wiring density * bit rate), their thermal flux is higher, complicating cooling. On the other hand, interposers add more cost than just TSV processing, which will keep them out of lower cost markets such as mobile. Two big advantages for interposers are that they reduce the need for power/ground feedthroughs

and physical standards. This was a significant barrier to the adoption of Wide IO but can be overcome through adoption of appropriate standards.

franzon 1

ASET

 

Aoki of Hitachi and collegues at ASET described activities on IC stacking using vias last / backside. Compared with the “via-middle”, the “backside-via-last TSV” can reduce process cost because TSV revealing is unnecessary. The via-last TSV process has two main advantages: first, modification of the BEOL process is unnecessary and, second, reliability concerns such as the “copper extrusion (pop-up) problem” do not arise.

ASET studied a process flow using the thinning after bonding approach. This is the process used by Tezzaron. With this method, temporary bonding is not necessary, so process cost should be reduced.

The process flow of thinning-after bonding is shown below . First, copper bumps embedded with polymer are formed on three wafers. Co-planarization of the copper/polymer surface by CMP is used to form a flat bonding surface. The step height between the copper bump and polymer was kept to below 50 nm across the entire wafer surfaces. The wafers (“LSI-wafer 1” and “Si-IP”) are then subjected to face-to-face (F2F) bonding.  Copper-copper bonding was achieved by applying hydrogen-radical cleaning. Next, the bonded wafer is subjected to wafer thinning and backside-via-last TSV processes Total-thickness variation (TTV) of the thinned wafer was kept to around 1.4 μm. A backside-via-last TSV was connected to copper/low-k interconnects. The diameter and length of the TSV were respectively 7 and 25 μm. After that the backside-via-last TSV processes, the bottom wafer “LSI wafer 2” is directly bonded to the previously bonded wafers in back-to-face (B2F) configuration. A three-layer-stacked CMOS wafer was successfully fabricated by the above-described processes.

ASET 1

To maximize interconnect resources, contact of the TSVs with the copper/low-k interconnects should be restricted to the lowest interconnect level possible. Cross-sectional SEM images of the stack is shown below.

ASET 2

Fabricated  devices exhibit high TSV yield of at least 99.2% and low TSV capacitance (about 40 fF). The transmission performance of the TSVs is 15 Tbps/W. Copper/low-k damage is reportedly negligible after via-last TSV formation. TSV-contact wiring needs only two interconnect levels. The estimated KOZ is up to 2 μm from a TSV because of low silicon stress (less than 50 MPa).

Tohku Univ.

Koyanagi’s group at Tohoku Univ reported on “Reliability Issues Related to TSVs.

 Mechanical Stress Induced by TSVs

It is known that a compressive stress is induced in the Si substrate next to Cu-TSV. Mechanical stresses decrease as the TSV size decreases whereas they increase as the TSV spacing decreases.

Cu Pop-up from TSVs

Cu extrusion (pop-up) occurs at Cu-TSV surface when Cu-TSVs are annealed at higher temperature. Cu extrusion increases as the TSV size increases and the annealing temperature increases.

Cu Diffusion from TSVs

It is very important in order to suppress Cu diffusion from TSVs that a barrier metal layer is uniformly formed with a high step-coverage within Si trenches before Cu electroplating for Cu-TSV formation. Step-coverage of barrier metal depends on the size and aspect ratio of Si trench.

Minority carrier lifetime was seriously degraded by Cu diffusion from Cu TSVs as the blocking property of barrier layer in TSV is not sufficient.

Reliability Issues in Thinned Wafer

Cu diffusion from backside surface of the surface of Si substrate is more significantly influenced

as the Si thickness is reduced. A dry polish (DP) treatment produced a superior extrinsic gettering (EG) layer to Cu diffusion at the backside.

DRAM Retention Degradation by Si Thinning

The retention characteristics of DRAM cell are degraded depending on the reduction of the chip thickness. The retention time of DRAM cell in the 20-μm thick chip is dramatically shorted by approximately 40% compared to the 50-μm thick chip.

For all the latest on 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 176 2013 IEDM; Micron, TSMC, Tohoku Univ., NC State, ASET

The 2013 IEEE IEDM was held in WDC the 2nd week of Dec. Let’s take a look at some of the key 3DIC presentations there.

Micron

Chamdrasekaran addressed challenges in future memory manufacturing for both front end 3D NAND and back end 3DIC stacking. He does not see any of the newer memory technologies making inroads against conventional DRAM or NAND in the next decade.

micron

Micron proposes that “…3D integration faces several equipment and fundamental technology challenges. Bonding dicing and packaging equipment have not evolved to the same level of control capability as front end equipment technologies.” In terms of fundamental technologies they see challenges in thin wafer handling, thermal budget management and stress constraints.

New techniques will be required to test the silicon interposers with TSV and 3D devices keeping  test costs low. Ability of probe technology to handle finer pitch TSV tips, eliminate probe created scrub mark defects, and handling of thinned wafers are all viewed as significant challenges.

3D stacking also introduces intra die defects due to several processing steps, which needs to be detected and understood. Thinned die and multiple materials with different thermal expansion coefficients also create thermo-mechanical problems that can lead to test and probe issues.

TSMC

Doug Yu and the TSMC packaging group described the integration of Rf chip and array antennas using FO-WLP packaging technology.

Low dielectric constant and thick substrate are two essential factors for a wide-bandwidth patch antenna. The band for 60GHz system in US is from 57-64GHz, which can be achieved with mold cmpd. (MC) dielecric constant of 4 and MC thickness of MC, h1, is chosen to be 300μm

LTCC and PCB substrate are currently used for integration of mm-wave antenna with RF chip but there are power consumption issues. The high power dissipation results from interconnect losses from chip to antenna through bumps or balls. “Antenna-on-chip” is other proposed solution to reduce the signal loss, but silicon is lossy and degrades the antenna efficiency.

The TSMC antenna structure is depicted in the figure below. It consists of two RDLs sandwiching mold compound. One is for patch radiator with the size of w × l = 890 × 1020 μm2 on the top of MC and the other one is for feeding structure embedded in the polymer on the bottom of MC as shown in the figure.

TSMC 1

This FO-WLP array antenna integrated technology has been approved for millimeter wave system applications. High gain array antennas of 14.7 dBi, low-loss interconnects of 0.7 dB and small form factor of 10 × 10 × 0.5 mm3 can be achieved with the technology. So called “InFO-WLP” is reported to be an excellent technology for system scaling of low power millimeter wave applications on high-data-rate wireless communication.

Comparison of power savings, parasitics and system performance/size is shown below.

TSMC 2

NC State

Paul Franzon presented on applications and design styles for 3DIC. When comparing 2.5D interposers vs 3DIC stacking . While 3DIC technology offers a substantial advantage in interconnect power efficiency and bandwidth density (effective wiring density * bit rate), their thermal flux is higher, complicating cooling. On the other hand, interposers add more cost than just TSV processing, which will keep them out of lower cost markets such as mobile. Two big advantages for interposers are that they reduce the need for power/ground feedthroughs and physical standards. This was a significant barrier to the adoption of Wide IO but can be overcome through adoption of appropriate standards.

franzon 1

ASET

Aoki of Hitachi and collegues at ASET described activities on IC stacking using vias last / backside. Compared with the “via-middle,” the “backside-via-last TSV” can reduce process cost because TSV revealing is unnecessary. The via-last TSV process has two main advantages: first, modification of the BEOL process is unnecessary and, second, reliability concerns such as the “copper extrusion (pop-up) problem” do not arise.

ASET studied a process flow using the thinning after bonding approach. This is the process used by Tezzaron. With this method, temporary bonding is not necessary, so process cost should be reduced.

The process flow of thinning-after bonding is shown below. First, copper bumps embedded with polymer are formed on three wafers. Co-planarization of the copper/polymer surface by CMP is used to form a flat bonding surface. The step height between the copper bump and polymer was kept to below 50 nm across the entire wafer surfaces. The wafers (“LSI-wafer 1” and “Si-IP”) are then subjected to face-to-face (F2F) bonding.  Copper-copper bonding was achieved by applying hydrogen-radical cleaning. Next, the bonded wafer is subjected to wafer thinning and backside-via-last TSV processes Total-thickness variation (TTV) of the thinned wafer was kept to around 1.4μm. A backside-via-last TSV was connected to copper/low-k interconnects. The diameter and length of the TSV were respectively 7 and 25μm. After that the backside-via-last TSV processes, the bottom wafer “LSI wafer 2” is directly bonded to the previously bonded wafers in back-to-face (B2F) configuration. A three-layer-stacked CMOS wafer was successfully fabricated by the above-described processes.

ASET 1

To maximize interconnect resources, contact of the TSVs with the copper/low-k interconnects should be restricted to the lowest interconnect level possible. Cross-sectional SEM images of the stack is shown below.ASET 2

Fabricated devices exhibit high TSV yield of at least 99.2% and low TSV capacitance (about 40 fF). The transmission performance of the TSVs is 15 Tbps/W. Copper/low-k damage is reportedly negligible after via-last TSV formation. TSV-contact wiring needs only two

interconnect levels. The estimated KOZ is up to 2 μm from a TSV because of low silicon stress (less than 50 MPa).

Tohku Univ.

Koyanagi’s group at Tohoku Univ reported on “Reliability Issues Related to TSVs

 Mechanical Stress Induced by TSVs

It is known that a compressive stress is induced in the Si substrate next to Cu-TSV. Mechanical stresses decrease as the TSV size decreases whereas they increase as the TSV spacing decreases.

Cu Pop-up from TSVs

Cu extrusion (pop-up) occurs at Cu-TSV surface when Cu-TSVs are annealed at higher temperature. Cu extrusion increases as the TSV size increases and the annealing temperature increases.

Cu Diffusion from TSVs

It is very important in order to suppress Cu diffusion from TSVs that a barrier metal layer is uniformly formed with a high step-coverage within Si trenches before Cu electroplating for Cu-TSV formation. Step-coverage of barrier metal depends on the size and aspect ratio of Si trench.

Minority carrier lifetime was seriously degraded by Cu diffusion from Cu TSVs as the blocking property of barrier layer in TSV is not sufficient.

Reliability Issues in Thinned Wafer

Cu diffusion from backside surface of the surface of Si substrate is more significantly influenced

as the Si thickness is reduced. A dry polish (DP) treatment produced a superior extrinsic gettering (EG) layer to Cu diffusion at the backside.

DRAM Retention Degradation by Si Thinning

The retention characteristics of DRAM cell are degraded depending on the reduction of the chip thickness. The retention time of DRAM cell in the 20-μm thick chip is dramatically shorted by approximately 40% compared to the 50-μm thick chip.

For all the latest on 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 175 2013 IWLPC; 450mm on Hold?

First things first:

A message from Hannah (9) and Madeline (5):

H&M

2013 IWLPC

The 2013 IWLPC Conference was held in San Jose CA this past fall. Lets look at a few of the key packaging papers.

Rudolph Technologies

Klaus Ruhmer of Rudolph Technologies addressed the convergence of front end (FE), back end (BE) and flat panel Display technologies that is happening in order to meet the requirements of mid end packaging technologies such as 2.5D  on interposer, 3D stacked chips or very thin fan-out packages.

Back-end patterning demand is moving well into the single digit micron range (< 5μm L/S) for current high density applications. One way of reducing cost while achieving such dimensions  is to take advantage of economy of scale brought about by larger format processing.

Glass interposers for 2.5D lend themselves to diverge from traditional round wafer form-factors and move to small rectangular panel sizes used early on by the FPD industry. It is thought that processing such panels will require manufacturing techniques which have previously been utilized for Flat Panel Display manufacturing.

A cost analysis by Rudolph concludes that a 1.7X cost reduction in lithography can be achieved  by going from a 1X stepper and 300mm glass wafers to a 550 x 650mm glass panel (gen 3 LCD panel) using their panel lithography systems (all other things being equal).

fig 2

Nanium

Nanium has been recently involved with establishing 300mm production of FOWLP [see IFTLE 124 “Status and the Future of eWLB; Will Deca lower the cost of FO-WLP?”]

At the IWPC they announced the 300mm scale up of FCI’s (Flip Chip Int) Spheron fan-in WLP technology. They were able to show process capability and reliability on a 500um pitch test vehicle. They are in the process of evolving this to 350u pitch.

Deca

We have discussed the Deca adaptive patterning technology previously [see IFTLE 124 “Status and the Future of eWLB; Will Deca lower the cost of FO-WLP?”]

At the IWLPC Boyd Rogers presented the reliability results for a  4X4mm2, 0.4mm pitch 72 IO test vehicle shown below.

Click to view full screen.

Click to view full screen.

They are in the process of doing board level cycling and drop testing.

450mm on Hold??

Several reports including Paul Van Gerven of Bits & Chip and Charile Demergian of Semiaccurate are reporting that ASML has stopped 450mm litho development.

Van Gerven reports that customers  Intel, Samsung and TSMC do not appear to be on the same page moving forward. Demergian reports that he has heard that Intel “…was delaying 450mm production by a considerable amount.”

Starting in July, ASML minority equity investments by its largest customers. Intel was the first acquiring 15% equity ownership. Part of the deal was a contractual commitment from Intel for advance purchase orders for 450 mm and EUV development and production tools. In August, TSMC took a 5% stake in ASML ( $1.04 B) and  TSMC committed  $341 million to ASML’s R&D programs.

While it has been widely reported that volume production at 450-millimeter was scheduled to start in 2018 at the 10nm node, these recent announcements could indicate that this 2018 start date might be optimistic.

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 174 DARPA ICECool Efforts for 3DIC Stack Cooling

At the IEEE 3DIC in SF in October, DARPA program manager Avi Bar Cohen presented the history of device cooling at DARPA and how it has evolved to the embedded cooling of 3D stacks.

It is well known that microprocessor frequency stopped increasing around 2005 when air cooling met its limits.

fig 1

Traditional cooling involves heat rejection to a remote fluid involving thermal conduction and spreading in substrates across multiple material interfaces as shown below.

fig 2

Such technology cannot:

– limit  the device “junction” temperature rise

– selectively target the thermally-critical devices

– extract heat efficiently from a 3D package or 3DIC stack

What is needed to take us to the next level is an embedded cooling solution at the die level. As we have discussed before [ see IFTLE xxx ] the DARPA ICECool program is divided into fundamental and applications phases with the goal of providing “… the fundamental thermofluid building blocks for the utilization of Intra and Interchip evaporative cooling in 3D DoD electronics.” It was envisioned that inter and/or intrachip cooling would be used to bring the cooling function directly to the site of the temperature rise on the device.

fig 3

Fundamental programs addressing the cooling of 3D stacks include :

GaTech / Rockwell  – STAECOOL

Click to view full screen.

Click to view full screen.

IBM / Stanford – Integrated Silicon Two Phase Cooling

fig 5

The 3D focused portion of the ICECool applications program has a goal of “enhancing the performance of embedded high performance computing systems through the application of chip-level heat removal with kW-level heat flux and heat density with thermal control of local submillimeter hot spots.”

At the December ICECool Applications kick off meeting in WDC Bar Cohen introduced the two 3D focused program winners GATech / Altera and IBM:

GaTech / Altera – SUPERCool which has the goal of bringing microfluidic cooling to the Altera FPGA.

fig 6

IBM will also participate in this phase of the contract focusing on “bringing embedded chip cooling to a high ed server and showing the extendability to 3DIC stacks.”

Click to view full screen.

Click to view full screen.

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

fig 8