Author Archives: sdavis

IFTLE 211 Semicon Taiwan part 2: Unimicron, Yole, Micron

By Dr. Phil Garrou, Contributing Editor

Continuing our look at 2014 SEMICON Taiwan.

Unimicron

YH Chen of Unimicron addressed “Panel Level Embedded Substrate Technology.”

Unimicron puts forth a proposal that embedded packaging saves cost because it (a) decreases the substrates used,(b) decreases the area of HDI board needed, (c) better electrical performance due to the proximity of the chips.

Unimicron 1

 

Unimicron started embedded passives technology (EPS) in 2009 and moved to HVM in 2012. This is based on burying MLC (multilayer caps).

Buried chip technology called EAS has the following roadmap:

unimicron 2

They are also looking at embedded hea “slugs” to increase thermal performance.

Line Embedded technology (LE) uses lasers to creat fine fetures that are then plated up and CMPed to give L/s as low as 8/8um.

unimicron 3

In another cost reduction development project, they are looking at combining the non organic interposer and the organic substrate into what they call “flip chip embedded intrposer carrier” as shown below.

unimicron 4

Yole Developpement

Azemar of Yole Developpement looked at “Fan-out & Embedded Dies Technologies and market trends.”

Azemar explained again that 2 different approaches are developing for Embedded packages, i.e. FOWLP based on reconfigured molded wafers and embedded die based on PCB laminate materials and infrastructure.

Currently Nanium and StatsChipPAC hold > 80% of the FOWLP market though this is expected to change when TSMC fully enters the market with their InFo-WLP technology.

yole 1

A generic embedded die packaging flow is shown below.

yole 2

For embedded die packaging, a new supply chain is required since the die embedding will be done by the PCB manufacturer who is making the substrate.

AT&S appears to hold ~ 80% of the embedded de market. They initiated this space with the TDK DC_DC converter package but Yole reports very little HVM since then.

yole 3

Micron

At the CFO Executive Summit Strohbecke of Micron  looked at “Micron Technology and the Changing Dynamics of the Memory Semiconductor Industry: Their 2014 vs 2018 assessment of DRAM demand vs application shows an increase in mobile and server/networking at the expense of PC memory.

micron

 

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 210 IBM Global Foundries reaching closure?; Semicon Taiwan 2014 part 1: Hitachi Chem & SPIL

IBM / GlobalFoundries – On Again ??

When last we discussed the soap opera that is called the IBM Global Foundries negotiations, I confirmed that IBM was actually paying for GF to take the semiconductor operation (which has been losing ~ $2B/yr) off their hands.

Since then the media had announced that the deal was off. But this made no sense, IBM had gone to far and their Semi employees would be leaving on their own since this was no longer a site to develop a semiconductor manufacturing career. Indeed GF began hiring IBM employees.

On 9/19, the Poughkeepsie Journal reported that “a source involved in the negotiations” indicates that “they are headed to arbitration as early as next week in the latest effort to strike a deal for IBM to sell its semiconductor manufacturing operations.”

SEMICON Taiwan 2014

SEMICON Taiwan is always a gathering that produces Major packaging announcements.

The Advanced Packaging Technology Symp was chaired by C. S. Hsiao, Vice President, Engineering Center, SPIL.

The SiP Global Summit and 3D Technology Forum was chaired by CP Hung VP of corporate R&D for ASE and DC Hu Sr VP of R&D and new business development for Unimicron.

CP Hung DC Hu

 

Hitachi Chemical

Toba of Hitachi Chemical described their embedding insulation sheet (EBIS) for FOWLP and FCCSP. The process flow for FO WLP is shown below using PBO ( HD 8940 ) as the RDL dielectric.

hitachi Chem 1

 

Proper  EBIS CTE and modulus and/or  die thickness was shown to reduce warpage by as much as 60%.

EBIS was also used for FC CSP packaging:

hitachi Chem 3

 

Using EBIS as a laminated MUF replacement (mold and underfill) resulted in center voids in the package. Compression molding was necessary to achieve void free structures.

Siliconware

M Lu of SPIL addressed “The Next Wave of 2.5D Applications”.

As IFTLE has stated in the past, the composition of future interposers will depend on the density requirements of the applications. Right now the only technology available to satisfy “G1” requirements is silicon substrate technology.

SPIL 1

 

SPIL has optimized the following processes in order to be able to address this market space.

spil 2

 

For all the latest on 3DIC and advanced packaging, stay linked to IFTLE…

 

IFTLE 209 Samsung announces TSV based DDR4; What is Intel eMIB?; Amkor says the wait for 3DIC is not over yet

By Dr. Phil Garrou, Contributing Editor

Samsung finally announces commercialization of TSV based DDR4

IFTLE has been reporting for awhile that a Samsung announcement of stacked memory based on TSV technology was imminent. [ see IFTLE 123 “Intels Bohr on 3DIC;Samsung DDR4 roadmap…” especially since similar announcements have already come from Micron and Hynix.]

On Aug 26, Samsung finally announced that it has started mass producing 64 GB DDR4, dual Inline memory modules (RDIMMs) that use 3D TSV technology. The new memory modules are designed for use with enterprise servers and cloud base solutions as well as with data center solutions [link]. The release is timed to match the transition from DDR3 to DDR4 throughout the server market.

Samsung has started operating a new manufacturing line dedicated to TSV packaging, for mass producing the new server modules. The new RDIMMs include 36 DDR4 DRAM chips, each of which consists of four 4-gigabit (Gb) DDR4 DRAM dies. The low-power chips are manufactured using Samsung’s most advanced 20-nanometer (nm) class* process technology and 3D TSV package technology.

The new 64GB TSV module reportedly performs twice as fast as a 64GB module that uses wire bonding packaging, while consuming approximately half the power.

Samsung believes that in the future it will create even higher density DRAM modules by stacking more than four DDR4 dies using 3D TSV technology.

Samsung has been working on improving its 3D TSV technology since it developed 40nm 8GB DRAM RDIMMs in 2010 [see IFTLE 65, “Samsung’s 32GB RDIMM DDR3…” ], and 30nm 32GB DRAM RDIMMs in 2011 using 3D TSV.

Fig 1

Amkor’s Liang says 3DIC will take another 3 yrs to get to HVM

At a press event held prior to the official opening of Semicon Taiwan 2014, Mike Liang, president of Amkor Technology Taiwan, announced that “demand of 3D ICs may take another 3 years due to concerns of high production costs.” He added that “…at present, only a few specific applications that require extremely high performance ICs require the use of 3D ICs, but the amount of such 3D ICs is not sufficient enough to support a full production line.” I’m sure this served to pour cold water on the subsequent 3DIC tech forum!

Intel Announces Embedded Multi die Interconnect (EMIB)

Intel recently announced that a new technology “Embedded Multi-die Interconnect Bridge” or EMIB will be available to 14nm foundry customers [link].

They claim it is a “… lower cost and simpler 2.5D packaging approach for very high density interconnects between heterogeneous dies on a single package.” While neither Intel nor any initial press reports gave any indication of exactly what this means.

It is highly likely that this is tied to the issuance of patent application publication US 2014/0070380 A1 published March 13 2014 [link].

In simplified form interconnect bridges (“silicon glass or ceramic”) are embedded in a laminate substrate and connected with flip chip as shown below:

Bridge Interconnect as described in recent Intel patent.

Bridge Interconnect as described in recent Intel patent.

A cross section of the package is more revealing showing connections through the laminate and connections through the bridge substrate (316) which would be TSV in the case of a silicon bridge substrate. The underside of the bridge substrate (314) may be connected to another bridge substrate for further interconnect routing as shown below.

While there is no silicon interposer, there do appear to be TSV in the embedded interconnect substrate as shown below. While removing complexity from the IC fabrication by eliminating TSV from the foundry process, the packaging operation becomes much more complex.

Since the 2.5D interposer has been reduced in size to the interconnect bridges, this may reduce cost, but will increase signal length vs a true 3D stack or a silicon interposer 2.5D

Intel EMIB Module in Cross Section

Intel EMIB Module in Cross Section

While Intel released the following description: “Instead of an expensive silicon interposer with TSV (through silicon via), a small silicon bridge chip is embedded in the package, enabling very high density die-to-die connections only where needed,” IFTLE thinks this is somewhat misleading.

The packaging analogy to what they have done is as follows:

A high density bumped chip could be put down on to a high density build up PWB,  but in most cases the high density bumped chip is placed on a smaller BGA substrate which is then put onto a lower density, lower cost PWB.  The latter is the lower cost solution. In this case, large expensive high density interposers are avoided, and the much smaller emib are used for the high density interconnect. It will be interesting to see what if any the cost differential will be here.

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 208 ECTC part 3: Thermal Compression Bonding – STATS, Toray, Qualcomm

By Dr. Phil Garrou, Contributing Editor 

SCP Status

Before we continue our look at key papers from the 2014 IEEE ECTC Conference, the latest on the potential sale of STATSChipPAC (SCP). In June SCP, announced  that it ended talks with one party (thought to be ASE) while discussions with other potential bidders were continuing.

Bloomberg now reports that the Chinese chip-testing companies Jiangsu Changjiang Electronics Technology and Tianshui Huatian Technology are considering bids for SCP and that a deal for SCP, valued at ~ $ 1B could be reached early next month.  [link].

Semiconductors have been labeled a  “strategic industry needed for China’s economic development and national security” by the Chinese Govt. China announced increased financial support for the industry and plans to set up a national investment fund according to Bloomberg.

Continuing our look at key papers from the 2014 IEEE ECTC conference

Thermo-compression Bonding

The advent of 2.5 and 3DIC has caused revisions in the way area array bump interconnect is carried out. The packaging hierarchy has traditionally been for BGA balls (~ 500um) to connect packages t boards and for C4 bumps (100-150um) to connect chips to packages.

Chip stacking with requirements for tighter pitch would prefer to have copper to copper connection, but such thermo-compression bonding currently requires 350-400C, 200kPa and most importantly 30+ minutes which makes high volume manufacturing impractical. Therefore the industry has adopted the   micro-bump and the copper pillar bump for such mating.

Sematech has put out the following cartoon to show approximately when technologies have to be changed based on required pitch.

208-1

 

Most OSAT roadmaps show that standard solder reflow can be used down to ~ 40um after which compression bonding must be used to avoid shorting.

208-2

 

A closer look at these mucro bumps (see below) usually reveals a Ni barrier layer on a copper pillar capped with Sn/Ag solder.

208-3

 

Such fine pitch connections make it difficult to underfill and have driven the refinement of pre applied underfills [both film (called non conductive film, NCF) and paste (called non conductive paste, NCP) ]. These technologies only work when one has good manufacturing control over both solder reflow and underfill cure.

Let’s look at some issues concerning such interconnect that came up during ECTC 2014.

SCP

Y. Jeong detailed the “Optimization of Compression Bonding Processing Temperature for fine pitch copper column devices.”     They examined thermal compression with non-conductive paste which they call TCNCP. The heat transfer from heating source to bumps must be tightly controlled in order to achieve the optimized bump temperature for the purposes of melting and soldering. To minimize voiding issues such as air entraps, a very short time window for curing has to be used in the NCP process. They determined the key parameters as shown below.

208-4

 

They concluded that “to have a successful bond, one of the most important keys is to obtain an optimized temperature profile which considers the ramp up/down speeds and times. The ramp up/down speeds and times affects the NCP flow behavior, void creation, and residual stress of the final product. In this regard, the peak and dwell time shall be precisely controlled to provide enough time for melting and soldering of bumps with substrate pads.”

Qualcomm

Y. Liu of Qualcomm examined “Filler entrapment and solder extrusion in 3DIC Thermo-compression uBumps.”  Qualcomm indicates that filler entrapment could negatively impact electromigration in the solder joint.

The main reason for filler entrapment is reported to be premature cure of the pre-applied underfill caused by not fully optimized process condition and/or bump geometry. Once curing initiates, solder wetting is no longer able to push out filler and underfill from the joint due to the increased viscosity of underfill.

They also find solder extrusion from the side of the ubumps and conclude through examination of large arrays of bumps that the extrusion appears to be random.

208-5

 

Toray

T. Nonaka of Toray described “High Throughput thermal compression NCF Bonding.”  Torray points out that thermo compression bonding suffers from throughput issues because of the process flow shown below which requires the bonding head to cool between chip placements.

208-6

 

They propose a logical process change where the die are all placed and then cured and reflowed at once (gang bonded) as shown below.

208-7

 

For all the latest in 2.5/3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 207 IEEE ECTC part 2: Advances in Fan-out Packaging

By Dr. Phil Garrou, Contributing Editor

Let’s take a look at some of the “Fan Out” papers that were presented at the 2014 ECTC.

STATSChipPAC (SCP) and the totally encapsulated WLP

Tom Strothman from SCP presented an update on their fan-out technology to produce fully encapsulated WLPs. Strothman reminded us that the WLP was invented, patented and commercialized by Flip Chip Technologies (FCT) in 1998 when they released the “Ultra CSP”. Tom was part of that FCT team along with Pete Elenius.  I recall that well, since I was at Dow Chemical in those days working with FCT on the program with my team which included current industry veterans Boyd Rogers and Andy Strandjord.

Luu Nguyen, then at National Semi, and a licensee of the FCT technology  was the first to coat the backside of the WLP with a layer of molding compound both for protection and to enable laser marking.

SCP has now taken this to the next level by developing their eWLCS (an acronym I assume for Wafer Level Chip Scale)  which is the only WLP to offer protection on all sides of the package.

The process starts with a high volume manufacturing flow developed by STATS ChipPAC for fan-out products. In this manufacturing method the wafer is diced at the start of the process and then reconstituted into a standardized wafer (or panel) shape for the subsequent  process steps. The basic process flow for creating the reconstituted wafer is shown below. The singulated die are accurately placed face down onto the carrier with a pick and place tool. A compression molding process is used to encapsulate the die with mold compound while the active face of the die is protected. After curing the mold compound, the carrier and adhesive foil are removed in a de-bonding process resulting in a reconstituted wafer where the mold compound encapsulates all exposed silicon die surfaces.

After the reconstitution process, the reconstituted wafer is processed with conventional wafer level packaging techniques for the application and patterning of dielectric layers, thin film metals for redistribution and under bump metal, and solder bumps. In the final dicing operation a thin layer of mold compound, typically < 70um, is left on the side of the die as a protective layer as shown below.

1

One would assume that the process flow shown above would have higher cost since there are additional steps required for reconstitution at the start of the flow. SCP contends that there are two key factors that offset the cost of the additional steps. 1) In the case of the 300mm reconstituted panel used here the cost is very competitive for silicon wafers with a diameter of 200mm and below. SCP claims the cost of processing a 300mm reconstituted panel is approximately 1.7x the cost of processing a 200mm silicon wafer in WLCSP, however the units per panel (wafer) increases by a factor of 2.3x, effectively offsetting the cost of reconstitution. 2) Since known good die can be selected at the start of the process, advanced devices that have a lower electrical yield can be tested in wafer form prior to the process. If the incoming wafer has a probe yield of 85%, then 15% more units per reconstituted panel can be processed to offset the cost of the reconstitution process.

Since the reconstituted panel size is no longer linked to the incoming silicon wafers size, the panel size can be increased over time and provide further cost reduction.

Because of the presence of molding compound, the RDL on these structures cannot use the typical PI, BCB or PBO dielectrics, but rather must use a as yet unnamed low temp cure material.

TCT (thermal cycle tsting) passes 500 cycles ( -4o to 125 C) and drop testing passes the JEDEC 30 drop requirement.

Siliconware (SPIL) panel fan-out packaging (P-FO)

Chang of SPIL discussed their efforts to commercialize he panel fan-out package concept  by combining  PCB, semiconductor back-end, semiconductor WLP and LCD Gen 2.5 glass processing technologies. This effort requires high accuracy die bonding and die shift compensation at film lamination, lower warpage sheet form film lamination, good copper trace plating uniformity control at large panel area and also precise photolithographic technique.

Known good die are reconstructed on the LCD Gen 2.5 (370X470mm) size glass carrier with adhesive temporary bonding material.

Processing issues are identified as warpage, die shift “coordinates compensation at lithography” and Cu plating uniformity.

They claim that warpage can be controlled to +/- 0.5mm after carrier debonding. They describe die shift compensation as a “compensable patterning method” which is not described but probably is similar to techniques recently described by Deca [see “Adaptive Patterning for Panelized Processing”]

Little detail is given on how they are going to achieve these requirements.

Nanium – eWLB Dielectric Selection

In eWLB technology  the reliability of the package is a balance of the capability of the different layers that constitutes the package in absorb shocks and mechanical stress from the different materials CTEs. In IC packaging interfaces, the dielectric material, plays a significant role absorbing thermal stress and mechanical shocks slowing down cracks propagation. A wide range of material classes has been considered including PBO, PI, nano-filled Phenol resin, BCB, Silicone, Epoxies, Siloxanes ,  Polynorbornenes and Acrylates. Fifty six56  dielectrics from seventeen manufacturers were compared based on physical, mechanical, thermal, electrical and chemical properties.

They found the most significant material properties are the elongation to break, the tensile strength and the Young’s modulus as they are an indicator of how a polymer will perform under mechanical stress caused by CTE mismatch between the die and the molding compound in thermal cycling and in mechanical shock drop tests.

A PI precursors formulation was selected based on its low curing temperature compatible with eWLB FO-WLP products and processing temperature restrictions. The unidentified PI precursor formulation is NMP/NEP solvent free. It is compatible with copper and all the other chemicals used in production process like solvents, bases and acids. Thus, the PI precursor formulation was selected to be used as buffer layer and also as RDL top layer.

Use of this dielectric reportedly allow  NANIUM to exceed 1,000 cycles in component level based Temperature Cycling Test (TCT -55ºC to 125°C) and 500 to 1,000 cycles, in board-level based Temperature Cycling on Board Test (TCoB -40ºC to 125 °C) according IPC-9701 and JEDEC JESD22-B111 Drop Testing.

Google / Novartis – Wearable electronics for diabetics ?? 

Google and Novartis, announced that  they will create a smart contact lens that contains a low power microchip and an almost invisible, hair-thin electronic circuit. The lens can measure diabetics’ blood sugar levels directly from tear fluid on the surface of the eyeball. The system sends data to a mobile device to keep the individual informed.

2

 

The Mountain View CA Google team involved in this program is the stealth  “Google X” group which focuses on “finding new solutions to big global problems” in healthcare and other areas.

For all the latest on 2.5/3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 206 COSMOS and DAHI Herald the Era of 3D Heterogeneous Integration

By Dr. Phil Garrou, Contributing Editor

For about a decade now, we have been awaiting the full commercialization of 3DIC. From the beginning most practitioners laid out a roadmap where CMOS Image sensors led the way followed by memory stacks, memory-on-logic, logic on logic and lastly the holy grail of heterogeneous integration where we could combine advanced semiconductor materials and different  functions, with high-density silicon CMOS technology.

Indeed CMOS image sensors have led the way [ see IFTLE 199,  “Omnivision Roadmaps 3D stacking for CMOS Image Sensors…” ] and DRAM memory stacks from Hynix and Micron are on the verge of full commercialization [ see IFTLE 202, “ConFab 2014: Novati, Lumileds; Chipworks; IEEE CPMT Packaging Panel”].

A lesser publicized fact is that we are actually very close to functional heterogeneous integration thanks to the efforts of many participants in the DARPA sponsored COSMOS and DAHI programs.

The development of non SI based semiconductor (compound semiconductors, CS) electronics has been motivated by their superior materials properties relative to silicon. For example, high electron mobility and peak velocity of InP-based material systems have resulted in transistors with fmax above 1THz. The wide energy bandgap of GaN has enabled large voltage swings as well as high breakdown voltage RF power devices and the excellent thermal conductivity of SiC makes tens of kilowatt-level power switches possible [1]

[1] S. Raman, “The DARPA Diverse Accessible Heterogeneous Integration (DAHI) Program: Towards a Next-Generation Technology Platform for High-Performance Microsystems”, 2012 CS Mantech Conf.

DARPA proposes  that the future of CS electronics depends not on displacing Si, but rather on heterogeneous integration of compound semiconductors with silicon technology in a way that will take advantages of the two technologies when combined.

Past attempts at heterogeneous integration has been at the module level, i.e Multichip Modules [see “Multichip Module Technology Handbook” , P. Garrou, I Turlik Eds., McGraw Hill, 1998].

However, MCM techniques have been limited by I/O parasitic effects between chips in such modules and by device and interconnect variability issues. Many of the limitations including I/O parasitics and phase mismatch are governed by the length of separation between CS and Si CMOS devices, and as such, reduction of this separation is expected to yield dramatic improvements in performance of heterogeneous integrated circuits.

The COSMOS [ Compound Semiconductor Materials on Silicon] program began in 2007 with teams led by Northrup Grumman, Raytheon and HRL (Hughes Research Labs).  They have demonstrated three different approaches (see below) to achieving InP BiCMOS integrated circuit technology featuring InP HBTs and deep submicron Si CMOS for RF and mixed signal circuits.

COSMOS

 

The Northrop Grumman technology starts with a completely fabricated standard CMOS wafer. A separately fabricated InP HBT wafer (thinned to approximately 55u m) is mounted to a glass carrier. An InP wafer is etched to form individual chiplets (still attached to the carrier wafer). The CMOS wafer is prepared for integration with the InP chiplets by depositing gold (Au) micro bumps (3-10um and 2um thick). The glass carrier containing the singulated InP chiplets is then aligned to the CMOS wafer, and the bonding operation performed using standard wafer bonding equipment with controlled time, temperature, and bonding force. The glass carrier wafer is then released, leaving the singulated InP chiplets connected to the base CMOS wafer. This is shown schematically below.

NG

 

DARPA is also pursuing the integration of GaN transistors with Si CMOS on a Si substrate.  For example. the Raytheon team has recently demonstrated a monolithically integrated RF amplifier circuit  using heterogeneously interconnected GaN HEMTs and pMOS gate bias control (see below).

Raytheon GaN

 

DAHI (Diverse Accessible Heterogeneous Integration)  initiated in 2013 is based on its predecessor COSMOS and is composed of several design, technology and manufacturing thrusts including :

  • Si CMOS for highly integrated analog and digital circuits
  • GaN for high-power/high-voltage swing and low-noise amplifiers
  • GaAs and InP HBT and HEMT for high speed/low-noise circuits
  • Compound semiconductor optoelectronic devices for direct-bandgap photonic sources and detectors, as well as or silicon-based structures for modulators, waveguides, etc.
  • MEMS components for sensors, actuators and RF resonators
  • Thermal management structures

Program teams include:

  • Teledyne/Tezzaron/UC Santa Barbara
  • MIT/Raytheon/Stanford
  • IBM/Columbia U/MIT/Veeco
  • NG/Novati/Nuvotronics/MOSIS/ON Semi
  • HRL/ UC San Diego/U Mass/U FLA
  • Raytheon/Novati/IBM
  • Rockwell/Tower Jazz/UCSD

At the recent DAHI program review in Boulder participants shared their technology progress to fabricate multilayer circuit structures (i.e InP, Si, GaN) on substrates such as SiC using 3DIC technologies such as TSV and oxide-oxide bonding.

The  goal of DAHI is to establish a manufacturable, accessible foundry technology for the monolithic heterogeneous co-integration of diverse (e.g., electronic, photonic, MEMS) devices, and complex silicon-enabled architectures, on a common substrate platform for defense and commercial users. By enabling the ability to ‘mix and match’ a wide variety of devices and materials on a common silicon substrate, circuit designers can select the best device for each function within their designs.  This integration would provide DoD systems with the benefits of a variety of devices and materials integrated in close proximity on a single chip, minimizing the performance limitations caused by physical separation among devices.

As these technologies become public, IFTLE will keep its readers apprised of the results.

For the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 205 2014 ECTC part 1: Controlling Warpage in Advanced Packaging

By Dr. Phil Garrou, Contributing Editor

We are a bit out of chronological sequence, but as usual the ECTC was chuck full of materials worthy of coverage. Since the presentation is in six parallel sessions it takes time to go back and read all of them, and, since the papers are published in IEEE Explore, I do not have the power point presentations to summarize for you.

Let’s start off with some papers concerned with warpage issues.

Hitachi Chemical

As we continue to miniaturize, warpage remains the main problem encountered in all areas of advanced packaging. Kotake of Hitachi Chemical addressed “Ultra low CTE core materials for next generation thin CSPs” They describe ultra low CTE (1.8) core materials (E-770G) which are used to reduce warpage in PoP packages. Hitachi simulations show that the CTE of core materials has more impact that the modulus.

Hitachi 1

 

Best results are obtained when using the new material E-770G for both core and prepreg.

Amkor

Kim and co-workers at Amkor reported on “Strip grinding Introduction for thin PoP.” Typical PoP used in mobile products consists of a logic function In the bottom package and a memory function in the top package. The most difficult barrier to fabricate the thin PoP is warpage control. Amkor TMV (through mold via) PoP structures can be overmolded or exposed die (to allow for heat sinking). When trying to thin the package, there is a limit to the  thinness of the overmold and a limit to the silicon die thickness since thinner die result in die chipping or cracking during handling. In the thin mold cap case, it’s not easy to control the package warpage. The warpage can be controlled with a thicker substrate, but this increases the package thickness.

The concept of strip grinding is to grind the mold compound and die together. The advantage of strip grinding is to use normal die thickness and mold cap thickness, thus reducing the risk of thin die handling and narrow mold clearance. Mold flash is eliminated through the grinding methodology. By applying a strip grinding process, we can easily generate a very thin die and mold cap.

amkor 1

 

Double side molded structures are possible, which help make a balanced structure on top and bottom which tends to improve the warpage performance. Bottom side mold is difficult, because the BGA ball is mounted on the bottom area.

For the double-sided mold process flow, chip attach on the top side and BGA ball attach on the bottom side need to be done first followed by double side mold. The bottom molding is ground until the bottom ball is exposed. To remake a BGA, a second ball attach needs to be performed to generate a proper BGA standoff.

amkor 2

 

Warpage simulations were done for a variety of die/substrate/mold thicknesses, as shown below.

amkor 3

 

Warpage is minimized when (a) thin die is double-side molded, i.e leg 6; (b) very thin die i.e leg 3 or (c) thick substrate to balance mold, i.e leg 1.

Qualcomm

Bchir of Qualcomm discussed “improvement of substrate and package warpage by copper plating optimization.”

While substrate warpage is typically approached through modification of dielectric material properties (such as CTE, Tg, modulus), layer thicknesses (core, prepreg, solder resist and Cu thickness), and Cu areal density per layer there is also an impact from the Cu plating process. Electroplated Cu thin films have porous grain boundaries, wherein grain boundary volume is strongly dependent on electroplating conditions and subsequent thermal processing.  During thermal processing, Cu grains grow and merge, eliminating grain boundaries and causing shrinkage. The residual stress in the initial deposit, coupled with shrinkage during subsequent thermal processing, strongly impacts the warpage of the substrate and package. This is compounded by the inherent front-to-back Cu density imbalance which is typical in substrate design.

Choice of electrolytic Cu plating solution has significant impact on the magnitude of package warpage. The influence of Cu plating solution on warpage is related to the resulting grain size distribution and stress state deposited from a given chemistry. Plating additives can be co-deposited as impurities into the Cu layer, and have been shown to strongly impact residual stress and grain coarsening behavior of the Cu deposit.

They found that reducing the plating current density for a given plating solution led to substantial reduction in package warpage. Also,  an increase in the plating current density causes a reduction in the deposited grain size, hence a reduction in current density would lead to larger deposited grains and thus larger grains would mean reduced grain boundary volume, less “shrink” in the Cu layer and lower residual stress in the Cu.

IMEC

Eric Beyne’s group at IMEC detailed their work on “Minimizing Interposer Warpage by Process Control and Design Optimization.” Imec’s silicon interposer technology consists of 10×100μm TSV, four thick damascene BEOL layers, Cu bumps and redistribution layers (RDL) front side and back side.

IMEC 1

 

They calculated and measured 300mm wafer bowing at different stages of interposer BEOL processing, as shown below. There is good agreement between simulation and measurement. For a 10mm x 20mm interposer, bowing is measured as 30um (short side) x 130um.

IMEC 20

 

Bowing mitagation was investigated by:

–  Replacing standard Pre-Metal-Dielectric (PMD) layer by a thicker and more compressive insulator

– The use of thinner Metal1 and Metal2

– The use of a more compressive oxide in the BEOL

– Replacing the standard PMD layer (300 nm/80 nm SiO/SiC layer) by a thick PECVD oxide with -170 MPa compressive results in a bow reduction of around 150 um (-37% bowing).

At die level,  bowing value of around 45 μm (-59% bowing) is predicted by the model for a 20 x 20mm  interposer.

IMEC 3

 

The use of thinner Metal1 and Metal2 will increase the sheet resistance of the two layers and consequently may impact the electrical performances of the interposer. The figure below shows that reducing the thickness of M1 and M2 effectively reduce the bowing and that a thickness of around 0.4μm could be a good trade-off between bowing and performance decreases.

IMEC 4

 

Small modification of the stress of the oxide can be very efficient to decrease the bowing at wafer but also thin die level.

IMEC 5

 

They conclude that “the use of a more compressive and thicker PMD insulator layer, a reduction in Metal1/Metal2 thickness, the use of more compressive oxide within the BEOL, are promising and easy to implement solutions to reduce interposer bowing with a limited impact onto its performances.”

For all the latest on 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 204 IBM / GF Semi Deal: the drama continues; Leti Studies Monolithic 3D

By Phil Garrou, Contributing Editor

Reports a week ago indicated that “It appears that IBM’s sale of its microchip manufacturing business to GlobalFoundries had fallen apart.” [link]

The local paper, the Poughkeepsie Journal, citing “anonymous sources with knowledge of the inner workings of IBM”, said the deal had been known under the codename  “Project Next.” Reportedly IBM managers at East Fishkill were told July 15th that the deal to sell its microchip unit to GlobalFoundries was off. The Journal quoted consultants who felt that such a deal would likely need clearance from federal agencies since IBM is a so-called “trusted foundry” for the U.S. military and GlobalFoundries is owned by the government of Abu Dhabi [link].

Perhaps, but…

 Bloomberg reported on Aug 5th that “according to a person familiar with the process”, it was  IBM offering to pay GlobalFoundries to take on IBM’s money-losing chip-manufacturing operations [link].

According to Bloomberg contacts, IBM was offering about $1 billion to entice Globalfoundries to take the unit but  Globalfoundries wanted $2 billion. Recall it has been reported that the IBM Semi business has been losing ~ $1.5B / year for several years.

It is likely that IBM requested GF continue operation of the two facilities to maintain supply of chips that they are using in IBM products. To do so GF would likely continue to incur similar losses and that may have outweighed acquisition of whatever IBM IP was to be included in the deal. IFTLE’s  understanding is that Burlington could be made to operate as an analog / specialty division, but East Fishkill (which reportedly cost $2.5B to build) should just be closed down because it is old and outdated.

With IBM semiconductor employees understanding that their division is no longer wanted by IBM corporate, many are jumping to GlobalFoundries which is actually setting up “job fairs” in the IBM factory communities [link].

It appears to IFTLE that IBM does not have much leverage in all of this, but we will see as the drama continues.

Leti Continues to Study Monolithic 3D

In Dec 2013 Qualcomm, in a move that appeared to show impatience with the development of 2.5/3DIC infrasructure, announced an agreement with CEA-Leti, to assess the feasibility and the value of Leti’s sequential (monolithic) 3D technology. In comparison with 3D-TSV technologies which  stack separate die, sequential 3D technology proposes to process all the functions in a single semiconductor manufacturing flow. Thus, the technology allows connecting active areas at the transistor level, at a very high density as it uses a standard lithography process to align them.

According to Leti, this technology is expected to produce a 50% gain in area and a 30 percent gain in speed compared to the same technology node in 2D. They expect the  sequential 3D technology will be much less complex and expensive to implement than sub 22nm nodes , making this technology a potential alternative to conventional planar scaling.
At the recent Semicon West event in San Francisco, Olivier Faynot, devices department director at CEA-Leti updated the community on their results thus far. According to Faynot the design kit is ready since technology is standard CMOS processing.

Leti 1
As expected, the issues are all thermal due to the sequential processing.

leti 2

It is hoped that advanced laser processing will allow proper dopant activation without disturbing lower layers.

Leti 3

 

They report that initial results show thermal stability demonstrated up to 500°C at 28nm and 14nm SOI nodes.

Key points ae reportedly silicide stability and dopant deactivation for NMOS.  On going process work includes:

• W implantation    • NiPt deposition    • Silicide formation    • F implantation

• RTP at various durations and T°

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 203 Apple Acquires LuxVue µ-assembly Technology

By Dr. Phil Garrou, Contributing Editor

Apple has acquired 24 tech companies in the last 18 months. Recently, Apple acquired LuxVue, a start-up focused on low power micro-LED displays. Although Apple has not disclosed any details of the acquisition, not even the purchase price, one can easily envision where micro LED displays could play a big part in Apples thrust into wearable electronics such as the i-watch. Reportedly the LuxVue display is 9 times brighter than both LED and LCD screens.  Such µ LED displays would be compatible with curved surfaces and would save power and thus increase battery life. Brighter, lower power displays could have applications in other Apple products and products such as Google glasses.

Little is known about the LuxVue technology other than the patents that have been issued. They have no web page and have made no public presentations that I can find. The do have several dozen patents many of which deal with transferring micro devices, which specifically for displays would be LED devices as small as 10 x 10 miron and placing them on 10 micron pitch.

Their preferred transfer device consists of a substrate with an arrangement of protruding mesas. Each mesa contains electrodes with a thin coating of dielectric. By providing a charge between the electrodes, an electrical field is created which electrostatically attracts the miniature chips or LEDs [for example, see USP 8333860 B1].

It is proposed that using this approach one can selectively choose to remove specific micro devices from a source substrate by applying voltage only to the projecting mesas corresponding to the positions of the device to be transferred.

In essence, this is massively parallel pick and place. We are all familiar with conventional pick-and-place assembly using vacuum collets and pin ejectors. For devices that are on the scale of 10 micron however, manipulation and accurate placement are significantly more difficult with today’s tools. This LuxVue “electrostatic chuck” mechanism is one way to deal with manipulation of such small devices.

If massively parallel pick-and-place sounds familiar, recall similar technology Semprius and more recently X-Celeprint have been developing.  These startups are based on the work of John Rogers at U. Ill. Which uses PDMS stamps rather than the more complex electrostatic chuck. [link 1] [link 2]

I have compared the two technologies in the figure below:

Xceleprint vs luxvue

 

I contacted Professor Rogers and X-Celeprint CTO Chris Bower, and they agreed that microassembly of such LEDs is indeed the sweet spot for X-Celeprint technology. They sent the images below which show some early examples of transparent and flexible devices using Micro-Transfer-Printed inorganic LEDs. At this point, they were just willing to say that this was an area “of active interest.”

Double printing the RGB LEDs allows circuit redundancy, so a bad LED or connection does not produce a bad pixel. This is the technique already in use by display manufacturers [link 1] and more recently 3DIC practitioners to insure that a bad TSV does not result in a failed chip [link 2].

REPLACE

As devices continue to get smaller, we can expect to see micro transfer technologies such as these take a more prominent role in their assembly.

For all the latest in 3DIC and advanced packaging, stay linked to IFTLE…

IFTLE 202 ConFab 2014: Novati, Lumileds; Chipworks; IEEE CPMT Packaging Panel

By Dr. Phil Garrou, Contributing Editor

Dave Anderson, CEO of Novati talked about “More-than-Moore, Advanced Packaging and Creating Game-Changing Innovation.” In keeping with the main theme expressed by IFTLE for several years, Anderson echoed, “Most companies can’t afford to continue to pursue Moore’s Law scaling” and offered “More-than-Moore” as a product customization route for the future.

Andrew Kim of Philips Lumileds updated attendees in “Trends in LED Manufacturing.” (As you may recall IFTLE and its incarcerated spokesman Lester Lightbulb are not strong supporter of LED replacement  of the incandescent bulb, i.e. see IFTLE Lester Lightbulb.)

Kim presented a “very simplified” process sequence for LEDs manufacturing. (“Wow that sure should be cheaper and better for the environment than a tungsten filament bulb.” – Lester) In addition, as we have shown before, the new light sources are not only the “electroluminescent emitter,” but a far more complicated circuit of PCB and components [see IFTLE 63 “Bidding Adieu to Lester the light bulb”]

Limileds 1

 

Kim sees the following options developing for substrate alternatives.

– Sapphire: currently dominant, current substrate cost for larger sizes

– Silicon: Manufacturing and performance

– GaN on GaN: Cost and value proposition

– SiC: Single LED user with captive source

Evidently the DOE game plan is still to reach $8 / bulb by the early 2020’s. (“Wow that will make it only 16X the cost of an incandescent bulb” – Lester)

lumileds 2

 

LEDs certainly offer new form factors for light and in the future will allow creation of devices that we are not today thinking about.  IFTLEs issue with LED lighting is with how it was sold to the consumer as a saver of power and a saver of cost. IFTLE arguments have been presented previously and can be found here [link].

By the way, we are now more than three years into our single bulb testing which was started in Aug 2011. The CFL was dead in < 10 months but the LED and the incandescent are still burning bright 3 years into the testing. Remember the incandescent cost me only 50 cents.

Fellow SST Blogger Dick James from Chipworks shared “Inside Today’s Systems & Chips: A Survey of the Past Year”. During their reverse engineering of the Apple iPhone 5s, Chipworks identified the CMOS image sensor as Sony’s  AW34 5399.

chipworks 1

 

IEEE CPMT Packaging Session

 

Bill Chen of ASE put together an Advanced Packaging panel to update ConFab attendees on the latest packaging advances.

packaging group

 

Garrou (IFTLE), Chen (ASE), Huemoeller (Amkor), Bezuk (Qualcomm) and Black (AMD)

The presentations of Amkor, Qualcomm and AMD have been reviewed recently by IFTLE [ see: “IFTLE 179 GaTech Interposer Conf: Amkor, GlobalFoundries”; “IFTLE 186 IMAPS Device Pkging Conf: Qualcomm, Prismark”; “IFTLE 188 IMAPS Device Packaging Conf Part 2: AMD, SCP”.

As part of my presentation, I looked at the status of a few of the 3DIC rumors that we have discussed on IFTLE, which didn’t come true and the current status of announced products including Hynix stacked memory, Microns HMC stacked memory and graphics modules from Nvidia. Memory is now happening, so hopefully the rest of the products will be coming soon.

Garrou 1

 

For all the latest on 3DIC and advanced packaging, stay linked to IFTLE…