Author Archives: insights-from-leading-edge

Semicon China – SMIC Shows off 28-nm HKMG Development

Another foundry goes gate-last

In the opening keynote at Semicon China today, Dr. Tzu-Yin Chiu, CEO of SMIC, gave a run-through of their technology portfolio, and in doing so let out a few details of their sub-40 nm process development.

SMIC’s Process/Application Portfolio

It appears that they are actually shipping some 40-nm pilot product for revenue, and to keep the ARM-world happy, they will have Cortex A9 cores running at 1.2 GHz by the end of the year.

Snapshot of advanced nodes at SMIC

Scheduled for mid-2013, their 28-nm offering will be both high-k, metal gate and poly/SiON, and feature one of the smallest SRAM cell sizes to date.

SMIC’s 28-nm schedule

The images are all distinctly fuzzy thanks to the challenges of using a phone camera at some distance from a dimly-lit screen, but they show what I’m talking about. It appears that the gate-last structure has more in common with TSMC’s 28-nm structure than Intel’s 32-nm, and also that the NMOS and PMOS labels have been reversed.

SMIC 28-nm transistors and SRAM cell

In all the other gate-last HKMG transistors we have seen, the thick TiN and Ta layers are in the PMOS (you have to squint to distinguish them in this image, but they are there), and I wouldn’t expect SMIC’s to be any different. We can also see the tell-tale notch at the base of the transistors that indicates that the gate dielectrics were formed before the dummy poly gate was put down.  At less than 0.13 sq. microns the SRAM cell is the smallest that I know of – TSMC is 0.15, and Intel 0.17 sq. microns.

Just for comparison, here’s a pair of composite images of Intel’s 45-nm transistors and TSMC’s 28-nm transistors. You can clearly see the notches at the bottom of the gate structures that I refer to above.
Intel 45-nm transistors (left) and TSMC 28HPL transistors

The inclusion of a poly/SiON variant (presumably low-power) at 28 nm puts them on a par with TSMC and UMC, and leaves GLOBALFOUNDRIES as the only major foundry without an announced non-HKMG LP process at that node. If the rumours about GloFo second-sourcing the Qualcomm S4 (currently on TSMC’s poly/SiON 28LP line) are true, presumably they’ll have to develop one!

GloFo’s FinFETS are Better than Intel’s! Musings from CPTF

This confident statement came from Subramani (Subi) Kengeri of GLOBALFOUNDRIES (GloFo) during the panel session in the GloFo/IBM/Samsung Common Platform Technology Forum (CPTF), held Wednesday in the Santa Clara Convention Center. I’m currently on one of my periodic road trips, and this one has given me the chance to sit in on the CPTF – last year I had to make do with the online version.

Towards the end of the panel discussions, the host, Jaga Jagannathan of IBM, asked Subi “How do you stack up against Intel? – especially in the SoC/smartphone space?” This clearly took Subi by surprise, but after some preamble, he focused on FinFET development, which AMD, then GloFo, have been working on for the last ten years.  In conjunction with customer input, they have been focusing their finFET efforts to optimise the (14 nm) process for mobile SoCs. He said that this was what would differentiate them from Intel, and in that space “We believe we have a much better finFET, that is optimised for mobile SoCs”.

CPTF panel session. Jaga is on the left, and Subi third from the right.  Source: Common Platform

Of course time will tell, and the CPTF 14-nm process will likely not show up for three or four years, while we are waiting for Intel’s imminent launch of their trigate product.

The panel session has been put online, so you can see it by going here, register if you need to, then select Agenda and click the relevant link; if you want to see this particular Q & A, move the slider to the 52:30 timepoint.

Also during the discussion Subi stated that GlobalFoundries is in production for 32-nm HKMG, and running the full flow of the 20-nm (gate-last) process in their Malta NY fab.

Earlier in the day he had given one of the keynote talks, and it was then that he gave the logic for the move to finFET at 14-nm that was a major theme of the day.  It boils down to the fact that by the time you get to the 20-nm node, there are no more knobs to turn to crank up the performance of a transistor.  In order to mitigate the short-channel effects and increase drive current, a 3D fully-depleted structure is needed. GloFo regards the mobile sector as one of the big drivers for leading-edge process development these days, so their finFET efforts have been focused in the mobile SoC arena, with a multiple Vt process in development.

Another nugget from the day was the public announcement that Samsung is in full production with their 32-nm HKMG process, and it appears in Austin as well as Korea.  I was hoping that we might see it in the new iPad, but we’ve now confirmed that the A5x chip is 45-nm. I guess we’ll have to wait for one of the new phones or tablets that will be out soon. Actually, that includes TVs too – Samsung had a TV with gesture recognition on the show floor, powered by a 32-nm HKMG processor, and that’s due out next month as well.

The following day I was at an Intel analyst meeting, but that’s under NDA so I can’t say too much; but it’s not letting too much out to say that it reinforced their messages from CES and the Mobile World Congress that there will be a big push on Ultrabooks and mobile phones.  Next month expect a huge marketing campaign for Ultrabooks – it was described as “epic” and “cinematic” at CES. Even now we’re seeing all sorts of product announcements by the OEMs, including plenty with the 22-nm Ivy Bridge chip inside.

At the moment I’m in Shanghai taking in the China Semiconductor Technology International Conference and Semicon China. I’m presenting on “Recent Innovations in Leading-Edge Silicon Devices”; hopefully it will get a good reception. And we’ll see if there’s anything blog-worthy this week. In the meantime I tweet @ChipworksDick if anything is noteworthy.

GlobalFoundries’ Ajit Manocha Visits CES

GLOBALFOUNDRIES has hosted a reception for the last three years at CES, although they don’t exhibit there, or even take a suite for the show – they find it a useful way to connect with customers and the analyst and journalist community. Held on CES Tuesday (Jan 10), I expected a large Intel-style gathering with lots of flash, but it was actually quite low-key in a hospitality suite at the Mandalay Bay resort, with no more than thirty people there at any one time.

After the initial introductions, Ajit stood up and gave a short speech celebrating the first silicon coming out of Fab 8 in Malta, New York, as announced that morning – the first wafers squeaked into 2011 as promised, coming out of the line on 27th December; and we can expect the first 20-nm silicon in June. He also spoke of the recent management changes and plans for $3 Bn capex for 2012 revealed in an interview the same day, bringing the total capex for the last three years to almost $12 Bn, showing their commitment to stay the course in the industry. He emphasised that "GLOBALFOUNDRIES is not just Dresden", and that the former Chartered Semi fabs in Singapore were contributing significantly to GloFo’s bottom line.

Ajit Manocha at GLOBALFOUNDRIES’ CES reception

The roster of customers that were present supported that, since most were fabless companies with product using larger node processes than the 45- and 28-nm product from Dresden.  Notably, AMD were not present, at least when I was there. A good crew of GF management was there, Kevin Kimball (VP, Global Communications), Mojy Chian (Senior VP, Design Enablement), and his colleague Derek Meyer, and Subramani (Subi) Kingeri, (VP of Design Solutions – 14nm), amongst others. There were a couple of wafers on show too, unfortunately not from Fab 8 – that would have been really topical!

28-nm and 32-nm AMD Llano Wafers from GLOBALFOUNDRIES Fab1 in Dresden

GloFo is clearly staffing up at Fab 8 – they held a job fair there last week and reportedly have hired 1100 so far, heading for 1400 by year end. By the look of some of their stock photos, they are still not 100% functional yet, but it obviously won’t be long!

GloFo shot of Fab 8 clean room – note the hard hats and hand-carried FOUPs!
Aerial shot of GLOBALFOUNDRIES’ Fab 8 in Malta, New York

If you’re one of the Twitterati, follow my occasional tweets at @chipworksdick.

Intel Press Briefing and Keynote at CES 2012

Intel UltraHypes Ultrabook at Monday’s Press Briefing

The Intel press session Monday morning at CES was focused exclusively on the Ultrabook, with Mooly Eden hyping up this supposedly new category of laptop. He prefaced the talk by saying there would be no discussion of 22 nm, Ivybridge, or any new silicon; the emphasis would be on "experiences" achieved by Ultrabook, not just speed and performance as Intel has done in most of the last few years.

Having said that, he went through an impressive array of the different applications that we use these toys for – games, internet, raw computing (as in graphics manipulation), and demonstrated the added security that they’ve built in since incorporating McAfee last year.

Mooly Eden and Associate at Intel CES Press Briefing

We then had a series of different versions of Ultrabooks, some of which were like the old HP systems where the screen could be flipped to turn it into a tablet (only these days with touchscreen). One of the most interesting had a small additional touchscreen on the lid, about 2" wide and the length of the laptop, so that it could be accessed without opening up and powering up the whole thing.  A concept  at the moment (Nikiski, I think it was called), but to me it had potential.

Of course, now that we are in the days of Apple’s Siri, speech recognition has to be on the horizon, so Mooly announced a partnership with Nuance and their Dragon system, and brought out their CEO for a few minutes of mutual congratulation.

Gesture recognition is also the coming thing, so we had a demo of that,which evolved into a plug for the sheer computing power of the Sandybridge/Ivybridge parts and what they can do.  Apparently there are more than 75 Ultrabooks coming onto the market this year, with screen sizes from 11 – 15", and at "affordable" prices.

Then the VP of Marketing and Sales came onto the stage, and announced a change in marketing approach from speed and performance to experiences, as Mooly had said earlier. They will be doing a huge marketing campaign partnering with a whole lot of companies, more than just those branding the Ultrabooks. This will start in April – described as "epic’, and "cinematic".

There was a mystical announcement made that Paul Otellini will have some significant statement to make at Tuesday’s keynote, and then we got to the "piece de resistance" of the show.  Intel are "seeding" Ultrabooks to the media, and fifty lucky folks found white envelopes under their chairs, containing an Ultrabook – theirs to keep provided they play with them and write about the experience.  Needless to say, the room went crazy, and I wasn’t one of the lucky ones! The rest of us do have a chance; apparently if we email [email protected] with a good story on how we can promote Ultrabooks, they have some more to hand out.  We’ll see!

So to sum up, Ultrabooks are going to happen bigtime this year according to Intel, and not entirely to my surprise, there was no talk of a launch of any 22-nm product.  I did ask one of the guys there if there was a launch date, but all he said was that there would a phased launch in the spring, based on application – I think we’ll see mobile product coming out first.

Stay tuned for that one, as soon as we get analysis started we’ll let you know!

CES Keynote:  Intel in Lenovo Phone and Tablet, Partners with Motorola Mobility

At Tuesday’s CES keynote, Intel CEO Paul Otellini announced that there will be an Intel processor in a Lenovo phone, coming soon, and a new partnership with Motorola that will bring more Intel presence in the mobile arena.

After some initial preambles about the ubiquity of personal computing, and confirming that the 22-nm Ivybridge is now shipping (with a line-of-sight to 14 nm), we got to the first of the "mystical announcements", and he invited Liu Jun on to the stage. Liu is a Senior VP of Lenovo, and President of the Mobile Internet and Digital Home Group, and he came up to announce the launch of the Lenovo K800 smartphone, to be shipped in Q2 to China Unicom.  It’s using a version of the Atom processor, has a 4.5", 720p screen, runs Android, and has 8 hours of talk time and 14 days standby time.

Liu Jun and Paul Otellini show off Lenovo K800 Smartphone, powered by Intel

Not too many other specs were discussed, so we’ll have to wait until the phone surfaces in China for a more detailed look. Liu also briefly brought up a 10" tablet using a 32-nm Atom processor, but no extensive demo there.  There was an extensive demo of an Intel reference phone, which was impressive, but then one would expect it to be in a keynote talk. Later on there was also a brief showing of a reference tablet running Windows 8.

Next up was Sanjay Jha, Chairman/CEO of Motorola Mobility, and they announced a multi-year partnership focused on making Intel-based devices, with the first phones coming out in the second half of the year.

Now we get a sequence of visitors to the stage; first Chris Aubrey of Adidas, selling running shoes using an Intel-based video wall ( a big push for the Olympics in London), and then Jeff Clarke  of Dell, launching their XPS13 Ultrabook. This looks very sleek, and can be ordered now, available in February, which presumably means it’s based on 32-nm Sandybridge, not 22-nm Ivybridge.
After some showing off of the laptop/tablet convertible that Mooly Eden had on Monday, a couple of Intel staffers traded apps on a pair of Ivybridge-based Ultrabooks, which at least proves that they are out there and I presume at least some OEMs are getting silicon.

The final visitor is Will.I.Am, talking about making music on an Ultrabook, writing a new song for every city while he’s on a world tour to raise money for inner cities (at least I think that’s what he said – he didn’t exactly look comfortable on stage taking techie questions). He finishes by holding the Ultrabook on his shoulder and announcing "the Ultrabook is the new blaster!"

Will.I.Am looking out of place while clutching Ultrabook

Then a post-amble about the spread of transistors and computers and we’re done – off the stage, no questions asked.  Summing up – Intel is getting into the mobile space (probably slower than they’d like), Ivybridge is coming, and watch out for Ultrabooks.

TI Debuts 28-nm OMAP 5 Processor at CES

This year, for the first time I made it to the International Consumer Electronics Show (CES) in Las Vegas. To say it is an endurance test is putting it mildly – close to 150,000 attendees predicted and ~5,000 media/analysts, so we (there’s two of us from Chipworks) spend more time standing in line than actually seeing the show.

Be that as it may, Tuesday morning we went to one of TI’s suites at the show, and lo and behold, a working OMAP5430! Announced last year, this is something we’ve been anticipating at Chipworks for a while. The silicon came out of the fab two or three weeks ago and is going through phase one testing, but so far it’s "live, stable and 100% functional". Testing has gone well enough that samples are already in customer hands.

There was a mobile demonstration unit showing graphics processing at 64 fps (using Android’s Ice Cream Sandwich), which compares with 47 fps for the OMAP4470. Other exercising is obviously being performed, but the space was pretty crowded so we didn’t get a chance to get an exhaustive display.

There was a palpable feeling of achievement in the room, and the folks showing us around were like new parents – great to feel that buzz! Given that Huawei just announced a phone with the OMAP4460 in it, things are going well for TI.

It’s too early to have a great deal of detail (so no pictures!), but essentially the part is meeting the published specs, and on track to be a 2GHz processor.

Fabbed in UMC’s 28LP process, it utilizes two ARM Cortex A15 cores, and two low-power Cortex-M4 processors (taking the "big-little" approach discussed at the ARM Techcon last year). It has 2 MB cache, and the graphics side is covered by dual POWERVRâ??¢ SGX544-MPx graphics accelerators.

Initially it will be shipped in a 14 mm x 14 mm PoP with 980 balls on 0.4mm pitch, and the stock photo below looks remarkably like the Amkor Thru-Mold Via technology that we first saw with the OMAP4430 in the RIM Playbook.

TI Stock Image of OMAP 5 Platform

Given that first silicon is only just out, it’s unlikely that we’ll see the 5430 in a downstream phone or tablet for a year or so, but who knows, if things pan out well, some OEM may want to accelerate that and we’ll get it earlier.  I hope so!

Thanks to Brian Carlson, Mike Blaskovich, and Heather Ailara for hosting us and letting us be among the first to see this hot new device.

We have been posting blogs from CES, mostly commentaries on the press sessions so far, at our Chipworks blog, and follow my occasional tweets at @chipworksdick.

IEDM 2011: IBM displays via-middle TSV process for die stacking

A few days after IBM and Micron publicized their hybrid memory cube, IBM gave their TSV paper at IEDM on the Monday afternoon (paper 7.1).

Entitled "3D Copper TSV Integration, Testing and Reliability," they described a node-agnostic through-silicon via (TSV) technology which takes a via-middle configuration, making contact to the upper metal (fat-wire) layers in the device structure. By "node-agnostic" they mean that they proved the concept in devices fabbed on processes ranging from 90nm down to the 32nm HKMG process. In doing so, the TSVs have anything from three to nine metal layers below the contact level, and have to cope with dielectric k-values from 4.1 down to 2.4, and bulk and SOI wafers.

The paper doesn’t specifically say so, but it appears that the TSVs are annular. Once the lower metal/dielectric stack is formed (including the via dielectric for the metal layer that contacts the TSVs), the TSVs are drilled through to the silicon, and then a Bosch etch is used to drill the vias about 100μm into the substrate, with a minimum pitch of 50μm.

After drilling, a conformal oxide is deposited, the barrier and seed layers are sputtered in, the copper fill is plated in, and any excess copper is CMP’d off. The dielectric for the contact level metal is put down, and then the top fat-wire metal levels are conventionally defined.

Fig.1: SEM cross-section image of annular TSV integrated into M10 level in 45nm technology.

Fig. 1 above shows the TSV contacting the metal 10 level in a 12-metal part. If we guesstimate that M10 is ~1μm thick, that gives us a TSV diameter of about 15μm (which agrees with a verbal comment at the presentation), and the annular copper ring is 4-5μm thick.

Fig.2: Cross-section of TSV bottom, showing Bosch-etch striations and fully-filled via.

It appears by the time M3 is complete, there’s about 250μm of bow in the wafer, which continues and will likely get worse by the end of wafer fab. That makes it difficult to bond the wafer flat for thinning, so at the via-2 and via-3 levels compressive oxide is used which pulls the wafer flat again — see Fig. 3 below.

Fig.3: Wafer bow vs. metal step and change with high-stress oxide at via-2 and via-3 levels.

That will mean that if TSVs are to be used there will have to be a discrete process module within the BEOL, and also the M2/M3 levels will have to be laid out to compensate for dense oxide rather than low-k in processes at 90nm nodes and below. Another cost adder for TSVs!

The completed wafers were bonded to glass handle wafers and thinned to expose the copper at the bottom of the TSVs, after which a protective oxide/nitride was deposited and patterned before forming and defining a copper redistribution layer (RDL). Lead-free C4 solder balls were then put on the the RDL, and the thinned TSV wafer was ready for joining to another die or substrate. After dicing the dies can be bonded with the RDL side on a package substrate with the device side face-to-face with another die, or face down with another die flip-chipped on to the RDL.

Fig. 4 shows a module in which a thin TSV wafer has been packaged RDL-down and another full-thickness die face-to-face with the thinned die.

Fig.4: Module containing thinned TSV die stacked face-to-face with full thickness die.

Test devices were subjected to considerable thermal and reliability testing without adverse effects. The TSV etch process was shown to affect nearby PFETs under certain conditions, but was optimized to solve the problem. The stress associated with the vias was not significant and not expected to create any mobility effects in nearby transistors.

As another test of the TSV process, a 32nm SOI 3D embedded memory module was fabricated with a 128Mb DRAM stacked on top of a 96Mb general purpose DRAM; both with 0.039m2 eDRAM cells in high-k/metal gate technology. The memories were tested with no performance or retention degradations observed.

I’ve no idea when we’ll see a real memory cube in production; no dates were given in the announcement, but hopefully sometime next year. Whether we’ll be able to get hold of one is another matter!

Don’t forget I’m a Twit these days — follow chipworksdick for new blogs and industry news that catches my attention.

IEDM 2011 Preview

Next week the researchers and practitioners of the electron device world will be gathering in Washington D.C. for the 2011 IEEE International Electron Devices Meeting. To quote the conference web front page, “IEDM is the world’s pre-eminent forum for reporting technological breakthroughs in the areas of semiconductor and electronic device technology, design, manufacturing, physics, and modeling. IEDM is the flagship conference for nanometer-scale CMOS transistor technology, advanced memory, displays, sensors, MEMS devices, novel quantum and nano-scale devices and phenomenology, optoelectronics, devices for power and energy harvesting, high-speed devices, as well as process technology and device modeling and simulation. The conference scope not only encompasses devices in silicon, compound and organic semiconductors, but also in emerging material systems.”

From my perspective at Chipworks, focused on chips that have made it to production, it’s the conference where companies strut their technology, and post some of the research that may make it into real product in the next few years.

In the last few days I’ve gone through the advance program, and here’s my pick of what I want to try and get to, in more or less chronological order. As usual there are overlapping sessions with interesting papers in parallel slots, but we’ll take the decision as to which to attend on the conference floor.

For the first time the conference starts on the Saturday afternoon, with a set of six 90-minute tutorials on a range of leading-edge topics:

  • Microresonator Filters and Oscillators: Technology and Applications, Roy H. Olsson III, Sandia
  • Graphene Nanoelectronics, Walter De Heer, Georgia Tech
  • Modeling and Characterization of Noise in Advanced CMOS, Andries Scholten, NXP
  • Technology CAD for Modeling and Design of Bio-Devices, Yang Liu and Robert Dutton, Stanford University
  • Kinetic Energy Harvesting – Technologies and Applications, Tomasz Zawada, Meggitt
  • IGBT and Superjunction – Leading Power Device Technologies, Florin Udrea, University of Cambridge  

The first three are from 2.45 – 4.15, and the remainder from 4.30 – 6.00. I won’t make it to any of them; dedicated nerd I may be, but I want at least some of my weekend!

On Sunday December 4th, we start with the short courses, “VLSI Technology Beyond 14nm Node” and “Advanced Memory Technology”. Philip Wong of Stanford of has organised the former, and we have some impressive speakers – Jeff Sleight, (IBM – Nanowires), Shinichi Takagi, (U Tokyo – High Mobility Materials), Alan Seabaugh, (U Notre Dame – Tunnel FETs), Ian Young, (Intel – MOSFET extrinsic R-C parasitics), and long-time attendee Bill Arnold (ASML – Lithography).

As I said last year, having started in the business on 10-micron geometries, 14-nm devices seem crazy to me, but on the Intel clock it’s only two – three years away! I’m starting to tell folks to think about the end of silicon, at least as we know it, since my brain will not wrap around the idea of 11- and 8-nm gates, and 11-nm is only five years away (and 30 – 40 atoms across, depending on orientation!). The guys in the R&D labs have been thinking about that for the last decade or more (as we’ve seen at IEDM), so this should be an interesting day to see what they’ve come up with and how we get there.

Roberto Bez of Micron has set up the other short course; now that we are getting into the 1x-nm flash (literally counting electrons) and 2x-nm DRAM eras, conventional scaling has probably reached its limits, and other storage mechanisms have to come into play. S.Y. Cha of Hynix kicks off the sessions with a review of DRAM technology, followed by Y.J. Choi (Adata) on NAND flash. The we get into the alternative mechanisms with Rainer Waser (RWTH-Aachen) on redox-based ReRAM, Janice Nickel (HP – Memristors), Andrea Lacaita (Politecnico Milano – Phase Change Memory), and Bill Gallagher from IBM finishing up on magnetic memories.

So some good solid stuff – although the courses make a long Sunday, from 9 a.m. to 5.30 p.m., but it’s worth sticking around to the end.

Monday morning we have the plenary session, with three pertinent talks on the challenges of contemporary electronics:

  • Approach Towards Achieving Sustainable Mobility by Takumi Matsumoto, Toyota Inc. – given the trend towards electric vehicles, and Toyota’s lead in that arena, this should be illuminating;
     
  • Perspective on The Past, Present and Future of Transistors by Mark Bohr, Intel – the big question here, of course, is will Mark give us any clues on the upcoming 22-nm trigate product? I’m inclined to doubt it – we’ll have to wait and see!
     
  • Various Technologies of MRAM and Logic-in-Memory Architecture Based on Hybrid CMOS/Magnetic Technology by Bernard Dieny, CEA – another perspective on where memory is heading. 

After lunch we have seven parallel sessions coming up! Session 2 gets straight into the way-ahead material with papers on graphene and nano-optical devices, although we seem to be moving away from R towards D in the R&D spectrum; for example, paper 2.2 from IBM builds graphene-based RFICs on 200 –mm wafers. Jim Montgomery at ElectroIQ has posted more details here.

Session 3 details phase-change and resistive memories, with papers on a 20-nm PRAM by Samsung (paper 3.1), and a 4F-sq cell 1-Gb PCRAM by Hynix (3.3). Session 4 provides the first of the inter-session clashes, with a paper (4.1) on 14-nm finFET circuit/device interactions from GLOBALFOUNDRIES.

In session 5, Asen Asenov’s device modelling group at the University of Glasgow has a paper on variability and reliability in finFETS (5.4), and IBM (5.5) discusses transistor matching and SOI thickness variation in extra-thin SOI (ETSOI).

There are a couple of interesting analytical papers in session 6; scanning spreading resistance measurement on a finFET by IMEC (6.1), and electron holography mapping of an under-30-nm MOS transistor. IBM starts session 7 with TSVs integrated with what looks like a 32-nm HKMG/e-DRAM process (7.1), and Renesas reports BEOL transistors using an InGaZnO channel integrated into the copper interconnect (7.4) – a different form of 3D!

Session 8 focuses on image sensors, and seeing that we do a lot of CMOS image sensor (CIS) reports, we won’t want to miss those – in particular papers from Sony (8.1), TSMC/Omnivision (8.2) and Panasonic (8.3). Sony discusses a flat (no STI in the array), 1.12-µm pixel CIS, possibly the one we’ve seen in the Apple iPhone 4S. Omnivision, who have had a lot of wins with Apple (but not the 4S!), talk about a 0.9-µm pixel back-illuminated CIS, and Panasonic’s paper is on a front-illuminated sensor fabbed in a 45-nm process using light pipes, with claimed performance better than back-illuminated sensors.

Tuesday morning we start with session 9 on flash memories: Hynix (9.1) discusses their “middle 1x-nm” (15 nm?) flash, now with air gaps similar to Micron’s paper last year; Macronix studies a thin (less than10 nm thick) floating gate at less than 20 nm (9.2), and Infineon reviews the use of embedded flash in uses such as automotive microcontrollers and smart cards (9.4).

Energy harvesting and ultra-low power is the topic of session 10, with a set of invited papers on thermoelectric, MEMS, and RF energy harvesting , and the types of device that could use such low energy supplies.

The other four morning sessions are predominantly academic; graphene and ultimate device modelling (S11), memory reliability (S12), InGaAs FETs (S13), and thin film technology (S14).

The speaker at the conference lunch will be Masaaki Tsuruta of Sony Entertainment, so we will likely see what’s coming up in the gaming experience – whole body sensors?

Session 15 in the afternoon is nominally about circuit/device interaction, but there are a couple of Samsung papers describing processes; paper 15.1 discusses their 20LP process, but the abstract does not say whether it’s gate-first or gate-last – it should be gate-last, but we’ll see! 15.6 talks about process improvements that enhance scalability of the 28LP gate-first HKMG process. There are two invited papers, by ARM (15.4) and STMicroelectronics (15.7), that get more into circuit/device interaction, ARM discussing scaling problems from the design perspective, and ST presenting process-design co-optimization at the 28-nm node, including TSV integration.

Sessions 16 – 19 are also at the academic end of the spectrum, with papers on Simulation of Memory Devices (S17), HKMG reliability (S18), and GaN devices (S19).

Session 20 gets into RF MEMS and resonators, starting with an invited paper from IDT (20.1) on MEMS oscillators (looks like they’re making MEMS as well, now). Nitronex, known for their GaN-on-Si RF transistors, are detailing a ~800 MHz MEMS resonator (20.3).

At the end of the afternoon Applied Materials is hosting a panel on “How will RAM Change for the Mobile Computing Era?” for a couple of hours. The panelists will be:

          Dr. Narbeh Derhacobian – president and CEO, Adesto Technologies, Corp.
          Dr. Gyoyoung Jin – senior vice president, Samsung Microelectronics, Ltd.
          Dr. Jae-Sung Roh – research fellow, Hynix Semiconductor, Inc.
          Dr. Gurtej Sandhu – senior fellow, Micron Technology, Inc.
          Dr. Klaus Schuegraf – chief technology officer, Applied Materials, Inc.
          Dr. Geoffrey Yeap – vice president of technology, Qualcomm, Inc.

Get registered here.

In the evening we have the conference panel sessions, “Is 3 Dimensional Integration at Best a Niche Play?”, and "Will SiC or GaN Replace Si as the Semiconductor for Power Devices?" – do panels always have to ask questions to get us interested?

In a way the three panels reflects the diversity of the business now – we tend to forget the huge range of applications these days, from the latest logic and memory nanotechnology in our smartphones, to the demands of bringing high-voltage DC power in from offshore wind-farms. I shall probably bounce back and forth between the two conference panels, they again have good speakers, and the 3D one has Jan Vardaman to keep them grounded – I don’t think she’s seen real 3D yet any more than we have at Chipworks.

Wednesday morning has sessions 23 – 29; S23 on carbon nano-tubes and Si-nanowire devices, which inevitably takes the academic track; S24 covers RAM and specialty memories, which is also a touch academic, but Samsung (24.1) discusses vertical spin-transfer torque MRAM, something we probably have to watch for in the not-too-distant future. IBM has two consecutive papers on their “racetrack memory” (24.2 and 24.3), and TSMC finishes up the session with a HKMG embedded DRAM in 28-nm technology using MIM capacitors (24.7).

Low voltage design and device variability is covered off in S25 – the “Albany consortium” (GLOBALFOUNDRIES, IBM, Infineon, Renesas, Samsung, STMicroelectrics, Toshiba, in alphabetical order) has a potentially interesting paper (25.6) on a layout dependency effect in HKMG which could read on the AMD Llano chip analysis we did a few weeks ago.

Now we get into scheduling clashes with S26 – TI has a stacked-die NexFET power module (26.1) which should be interesting, and Panasonic has two papers, the first (26.2) on a low-leakage GaN-based multi-junction diode, and the second (26.6) describes a SiC MOSFET which somehow uses the channel as a diode current path. Rohm also has an invited paper on SiC trench transistors (26.5).

Session 27 deals with MOSFET reliability, specifically bias-temperature instability, mobility and noise, and is a bit beyond me; however, S28 has some meat in it for advanced CMOS geeks.

In paper 28.1 the GLOBALFOUNDRIES/IBM, Infineon/Samsung/Toshiba group discusses their dual-channel (Si/SiGe) HKMG gate-first technology that we saw a version of in the AMD Llano. Later on IBM/GloFo describe an atomic layer oxidation technique for a gate-last process (28.5). Sony, Panasonic and Fujitsu in conjunction with IMEC also have a dual-channel HKMG paper (28.6), but this time in both gate-first and gate-last versions. There is also a review paper by UTokyo on germanium CMOS (28.4).

I shall be torn between paper 28.1 above, and Benedetto Vigna’s invited paper on MEMS sensors (29.1) at the same time. Benedetto has shepherded STMicroelectronics into the leading position in consumer MEMS in the last five years or so, so it’s likely that whatever phone or game system you have will have an ST motion sensor in it.

Lunchtime Wednesday, ASM will be holding a seminar across the road at the Churchill Hotel, with Ivo Raaijmakers hosting; and I have the privilege of speaking on “High-k/Metal Gate in Leading-Edge Silicon Devices”. To register, email Roseanne de Vries at [email protected].

The pace continues in the afternoon with session 30 on nano device technology, session 31 on resistive RAM, S32 on advanced SRAM, S33 on III – V FETs, S34 on simulation, S35 on high mobility, and S36 on biosensing and solar conversion.

Papers of interest for me in the RRAM session are 31.2 on HfO-based RRAM, bipolar ReRAM by Panasonic (31.4), a WOx RRAM by Macronix (31.5), and a vertical RRAM by Samsung (31.8).

Session 32 has one of the seven papers by Intel at this IEDM (32.1), on low-voltage SRAM operation (but no paper on 22-nm trigate transistors!). Suvolta and Fujitsu may come out of stealth mode and show details of their channel engineering and associated VDD reduction in paper 32.3.

Another Intel paper co-authored with substrate maker IQE (33.1) looks farther ahead at hi-k tri-gate InGaAs quantum-well FETs, and the same groups discuss a tunneling FET (33.6) in the last paper of the session.

In session 34, IMEC and Panasonic have a SiGe channel paper (34.3), and, as noted in my last blog, Intel sheds some light on their NMOS stress mechanism seen in their 32-nm process (34.4) – or at least, that’s my speculation!

Session 35 starts with another Intel/IQE paper, this time comparing MOVPE and MBE III-V quantum-well FETs on Si substrates (35.1). The Sony/Panasonic/Fujitsu/IMEC group have another paper (35.4), detailing a Ge-Sb-Te liner for putting compressive stress on PMOS finFETS. SEMATECH and CNSE discuss an ultra-shallow doping technique for finFETs (35.5), and IMEC/Panasonic/Ultimate Junction Tech. also talk finFET doping (35.6), this time specific to NMOS.

The last paper of the session (35.7) has NU Singapore and Varian describing what looks like a fairly complex way of tuning nickel silicide contact resistance by implanting aluminum, and locking into place with carbon. They claim an 18% drive current improvement for nFETs; so complex it may be, but it’s another way of pushing up performance, and processes are plenty complex already!

The conference finishes about 5 pm – by then a lot of attendees will be heading for home, and I’m usually thankful when the last paper’s done. As always, no peace for the curious!

Last year the SOI Industry Consortium held a workshop starting at 5 p.m. after the conference proper was finished, with some notable speakers from academe and industry. I haven’t heard anything of a similar event this year, but I will post an update to the blog with the details if I do.

On a completely different note, I have grudgingly joined the Twitterverse, so any Twitterati can follow me at @chipworksdick, I will be trying to post interesting industry tidbits. I can’t say I’ve ever been particularly good at one-liners, but we’ll see!

Intel clarifies 32nm NMOS stress mechanism at IEDM 2011

I was browsing through the advance program for the upcoming IEDM conference when, almost at the end, I came across paper number 34.4, "Modeling of NMOS Performance Gains from Edge Dislocation Stress," by Weber et al. of Intel. According to the abstract: "Simulations show stress from edge dislocations introduced by solid phase epitaxial regrowth increases as gate pitch is scaled, reaching over 1GPa. This makes edge dislocations attractive, as stress from epitaxial and deposited film stressors reduces as pitch is scaled. We show dislocation stress varies with layout and topography."

The abstract doesn’t have much detail, but it does re-enforce the teachings from a Samsung paper at last year’s IEDM conference, paper 10.1, "Novel Stress-Memorization-Technology (SMT) for High Electron Mobility Enhancement of Gate Last High-k/Metal Gate Devices" (Lim et al.).

The essence of this paper is that if you give the source/drains a deep amorphization implant, and then anneal to create solid-phase epitaxial re-growth with a tensile stress liner in place, then crystalline dislocations are formed adjacent to the gate edge, which apply tensile stress to the channel.

Source: IEDM/Samsung

Like the embedded SiGe stress for PMOS, this works better with a gate-last process, since the surface is not locked by a polysilicon gate. Samsung claimed ~1% lattice distortion, verified by nano-beam diffraction measurements. A vertical slice was taken below the center of the gate and the color coding shows strain of ~1%:

Source: IEDM/Samsung

When I saw this paper it made me wonder if this mechanism was what we had been seeing in the Intel 32nm parts; none of the earlier stress mechanisms seemed to being used. Intel were the first to apply stress to transistor channels at the 90nm node, using (for NMOS) the contact etch-stop layer (CESL) silicon nitride; and then at the 45nm node they evolved to using the contact plug itself and the gate-fill metal, since the CESL is almost gone.

But in the 32nm process the contact plugs have been polished away, and there is less gate metal (since it’s a smaller gate) — so what is supplying Intel’s fourth-generation strain?

In the light of these two papers we can now take a good guess when we see what Intel’s 32nm NMOS transistor looks like:

And as we can see, there are stacking faults on both sides of the gate, and they look similar to the ones in the image from the Samsung paper:

Source: IEDM/Samsung

Samsung claimed an increase in electron mobility of 40%-60% and drive current improvement of over 10%.

Stacking faults are not normally what we want to see in transistors, because they can be leaky if they go through a junction, but as long as they are contained within the source/drain diffusions, they should not be a problem. They are certainly in every NMOS transistor that we imaged (though given the billions of transistors in the millions of processors shipped, we cannot exactly claim a large sample).

At an intuitive level it makes sense that this mechanism should work — a stacking fault is a missing layer of atoms within the crystalline lattice, and we are now working with channel lengths of a hundred atomic spacings or less. So if a couple of atomic layers are missing at opposing ends of the channel, it seems logical that tensile stress would be induced in the channel.

Like the other stress techniques, this only works now that we are down in the nanometer range, but the good thing about this one is that the applied strain should increase as the channel length gets shorter.

So it seems that we have finally deduced at least some of what Intel are doing in their 32nm NMOS transistors. Now, of course, the question will be — can it be transferred to the trigate structures we’re looking forward to in the 22nm process?

Looking forward to IEDM, in addition to the conference program, ASM will be holding a lunchtime seminar on the Wednesday, Dec. 7th, at 12 noon, with Ivo Raaijmakers hosting; and I have the privilege of speaking on "High-k/Metal Gate in Leading-Edge Silicon Devices." To register, email Roseanne de Vries at [email protected]. We hope to see you there!

GLOBALFOUNDRIES Takes a Different Approach to HKMG in AMD’s Llano CPU/GPU

After much anticipation, and with quite a few design wins, AMD’s Llano CPU/GPU chip arrived on the scene a couple of months ago. Fabricated by GlobalFoundries (more easily known as GloFo) in their 32nm SHP process, it was the first foundry-based gate-first HKMG product to come on the market.

As a processor, it garnered pretty favorable reviews, but of course we were keen to get it into the lab and see how it had been put together. When we did, it became a bit of a mystery — we couldn’t see any significant differences in gate stack between NMOS and PMOS! It’s common wisdom that you need different work function materials in the NMOS and PMOS gates to differentiate them and make up the CMOS circuitry.

For example, Panasonic uses lanthanum to tweak the work function of their NMOS transistor and distinguish it from the PMOS stack in their HKMG Uniphier chip that we looked at back in the spring.

Fig. 1  Panasonic 32-nm HKMG Transistor

As we can see in Fig. 1 above, the gate metal is titanium nitride under the polysilicon, and the hafnium-based high-k layer is below that, over the interface oxide. There was no apparent physical difference between NMOS and PMOS until we start looking in detail, and then we found just a tickle of lanthanum in the NMOS stack, but presumably enough to move the work function into the NMOS regime.

When we look at the Llano, it also uses a gate-first transistor style, with TiN as the gate metal, but there the resemblance stops. Below (Fig. 2) is a composite image of the Llano NMOS/PMOS transistors, and you can see that they are more complex.

Fig. 2  AMD/GloFo 32-nm HKMG NMOS and PMOS Transistors

Dual-stress liners are used to add tensile and compressive stress; we can see from the above that the PMOS (compressive) nitride is twice as thick as the NMOS (tensile) layer. The PMOS device also has embedded SiGe in the source/drains to add more compressive stress, whilst there is possible evidence of stress memorization (SMT) for NMOS. And if we look carefully, the PMOS SOI layer is also a little thicker than the NMOS SOI.

The NMOS and PMOS gate stacks shown in Fig. 3 appear to be the same — highly silicided poly on a thin AlO barrier layer, on TiN gate metal, which is on the Hf-based hi-k layer with a SiO interfacial layer on the substrate. The AlO layer in the PMOS stack is more diffuse, and some of the aluminum has migrated into the TiN, and arsenic is present as expected in the NMOS, but essentially they are the same.

Fig. 3  AMD/GloFo Transistor Gate Stacks

So now we have a bit of a mystery; how are the NMOS and PMOS transistors differentiated? We looked long and hard in both NMOS and PMOS for a dopant such as the lanthanum used by Panasonic, something other than hafnium, silicon, or titanium, but if it’s there’s, it’s below the detection limits. Aluminum is known as a dopant for PMOS, but to be effective it has to be present at the Hf/SiO interface to create Vt-shifting electrical dipoles, and we see no evidence of migration that far.

The extra thickness in the SOI is the clue to what we think is going on in this part. The extra thickness is actually a layer of epitaxial SiGe, which changes the relationship with the gate metal and shifts the Vt, instead of using a dopant in the hi-k. Some work was done on this topic at SEMATECH a few years ago [1], and of course AMD and IBM were members and would have received the results.

The schematic in Fig 4 shows conceptually what happens; the valence band of the substrate is shifted because of the Ge, and also due to the compressive strain applied by the embedded SiGe source/drain and the nitride stress layer.

Fig. 4  Schematic of Band Diagram for Transistor with SiGe Channel [1]

Fig. 5 illustrates the drive current improvement for a >10% SiGe channel in the SEMATECH device, which will also include the effect of the inherent improved hole mobility in the SiGe.

Fig. 5  Drive Current Improvement in SiGe-Channel Device

That accounts for the PMOS; the NMOS was still a bit of a mystery, since one would still expect a dopant at the hi-k/oxide interface, and we see none. All we see is TiN, and Intel uses that as their PMOS work-function metal, which which on the face of it  doesn’t make sense. However, more SEMATECH [2, 3] work indicates that the work function of TiN can be manipulated by adjusting the growth conditions and thickness, enough to shift it from the NMOS to the PMOS regime.

In fact, SEMATECH’s ESSDERC paper from 2005 [3] agrees nicely with what we see in the AMD and Intel parts. The Llano has a ~2nm TiN layer in the NMOS, whereas Intel uses ~2nm layer plus a 1nm Ta-based cap and another ~4nm TiN on top of that in their PMOS. Fig. 6 indicates that this extra material could be enough to move the work function in Intel’s transistor from NMOS to PMOS.

Fig. 6   Effective Work Function of TiN electrode when 10-nm thick ALD TiN and TaN Films are Used as Overlayers on ~3.6 nm TiN Layer [3]

We actually had a clue a couple of years ago, if we had known what we are looking at. In a CICC paper [4] GlobalFoundries showed an image (Fig. 7) of a transistor that looks as though it had a SiGe channel — but of course they didn’t say so!

Fig. 7  Experimental  GLOBALFOUNDRIES Transistors [4]

Of course all of the above is pure speculation, but if the literature is correct it, does hang together and account for the difference between this latest HKMG product and the others we have seen. Now, will IBM, Samsung, and the other alliance members do the same thing?

References

1. H.R. Harris et al., Band-Engineered Low PMOS VT with High-K-Metal Gates Featured in a Dual Channel CMOS Integration Scheme, Symp. VLSI Technology 2007, pp 154-155

2. K Choi et al., Growth Mechanism of ALD-TiN and the Thickness Dependence of Work Function, Symp. VLSI Technology 2005, pp 103-104

3. K. Choi et al., The Effect of Metal Thickness, Overlayer and High-k Surface Treatment on the Effective Work Function of Metal Electrode, ESSDERC 2005, pp 101-104

4. S. Krishnan et al., Advanced SOI CMOS Transistor Technologies for High-Performance Microprocessor Applications, CICC 2009

Intel Enlarges Process Lead over Their Competition

22-nm Trigate Transistors Discussed

At a morning session at the Intel Developer Forum Tuesday, Mark Bohr tooted the Intel trumpet and put a slide up to emphasise their lead over the other leading semiconductor companies:

Intel Process Evolution Since 90-nm

One can quibble a bit about the odd month here or there for the dates, but essentially things have been as they say — they were the first with embedded SiGe for PMOS strain, they were a node ahead of everyone else at HKMG, and if the trigate launch comes to pass as planned at the end of this year, they will be years ahead with their version of the FinFET.

The main focus of the talk was Intel’s upcoming 22-nm trigate transistor technology to be used for the Ivy Bridge processors due out in the New Year. Essentially it was a re-run of the May announcement, with a little more about the SoC version and a look forward to 14-nm in (presumably) 2013.

Intel Schematic of Trigate Transistor in Inversion
Transistor Delay vs Voltage (pale grey line is planar 22-nm)
Source: Intel

Mark said that they made the choice for trigate back in 2008, when it became clear that the performance benefit from the fully depleted triple-gate structure (compared to 22-nm planar) was significant enough to justify the additional effort and cost of another step-function change in process architecture.

Compared with the 32-nm equivalent, the trigate gives a 37% performance increase at a lower voltage or a 50% power reduction at constant performance. Somehow Intel does this with no extra mask levels and only 2-3% additional cost (although extra litho steps are used, because of the need for double patterning).

Of course, I was keen to hear when we’ll be able to get hold of some of these chips, after all they’re going to be fascinating to take apart!. According to Mark, they are "just about ready to start production" in Q4, with public availability in the first half of next year. They are definitely sampling, since Ivy Bridge Ultrabooks are on show here. The strict two-year clock appears to have slipped slightly, since previous launches have been in November; but we quibble, since Intel has a clock — their competitors make an announcement, and then we wait!

Which brings us to the roadmap; as you can see in the first graphic above, 14 nm is predicted in 4Q13 (which is itself a subtle change, since it was 15-nm a couple of years ago — Intel seems to be aligning itself with the other companies which have gone the 28 — 20 — 14 nm route).

Intel is also continuing the parallel development of SoC processes down to 14 nm:

New Process Roadmap  Source: Intel

Talking to the guys on the floor here, Cedar Trail (32-nm SoC) netbooks and mini-desktops will be out for the Christmas market, and I gather the intent is to reduce the gap between the CPU and SoC processes to a year or so from the current two — three.

Given the extension to 14 nm, Intel must have already verified that the transistor-related SoC features (low leakage and high-voltage transistors, and the different varieties of SRAM) work with trigates, the rest are all back-end related so should just suffer the normal scaling problems.

Unfortunately it appears that there will not be a paper on the 22-nm process at IEDM this year, so we will have to wait for Ivy Bridge chips to come on to the shelves to get a few more clues — it should be an interesting spring!