Siliconica



IEDM 2016 Next Week! (Part 2)

By Dick James, Senior Technology Analyst, Chipworks

Read Part 1 here.

Now for the second part of the preview, starting with the Tuesday afternoon sessions:

Session 17: Process and Manufacturing Technology — Silicon Based Advanced CMOS

Here we look ahead a little, considering how silicon will evolve rather than using other higher-mobility materials. First up is a joint IBM/GF report of air spacers in 10nm finFET structures (17.1), between the gate and the contacts, to reduce the parasitic capacitance.

Schematic of partial air spacers (left), and TEM cross-section of finFET gates, showing spacers between gates and contacts (17.1)

Schematic of partial air spacers (left), and TEM cross-section of finFET gates, showing spacers between gates and contacts (17.1)

IBM/GF also study (17.2) the use of laser-induced liquid- or solid-phase epitaxy in contact trenches, to form semi-metallic, semiconductor-dopant (Si:P and Ge: group III metals) metastable alloys to reduce contact resistance.

17.3 also considers contact resistance, this time looking at Ni(Pt) silicide on fin-on-insulator (FOI) finFETs. IBM/GF are up again in 17.4, discussing low Ge-content SiGe finFETs; imec and Applied Materials are collaborating in the usage of high-temperature ion implantation in bulk finFET technology (17.5); next we look at vertically-stacked horizontal nanowires with replacement metal gates (RMG), inner spacers, and SiGe source/drain stress for p-FETs (17.6); and finally we have an FD-SOI paper, discussing a dual-isolation process (STI and local oxidation) to maximize both SiGe-channel stress and back-biasing performance(17.7). 

Session 18: Sensors, MEMS, and BioMEMS Enhanced Sensing, Heterogeneous Integration and Wearables

We start this session with a report (18.1) on using pre-bias to improve the sensitivity of a silicon FET gas detector which has ZnO as a sensing layer. Then Fujitsu describes a graphene-based gas sensor capable of detecting 7 ppb of nitric oxide (18.2), and in 18.3 laser-patterned graphene is used for strain sensing.

Graphene shows up again as a transparent epidermal sensor in 18.4, measuring skin temperature, hydration and electrophysiological signals (ECG, EEG, EMG). Prof. Shuji Tanaka of Tohoku University gives an invited talk on “Heterogeneously-Integrated Microdevices” in 18.5, then flexible (i.e. thin) bulk silicon is used for the system-level monolithic integration of multiple sensor types using CMOS processing, including a wearable version (18.6).

The session finishes (18.7) with an invited review by Raji Baskaran of Intel, on “Sensors and Haptics Technologies for User Interface Design in Wearables”. 

Session 19: Nano Device Technology — Tunnel and Nanowire FETs

The first paper combines both themes of the session – we have a vertical nanowire InAs/GaAsSb/GaSb tunnel FET (19.1) with a record high on-current of 10.6μA/μm.

Schematic of InAs/GaAsSb/GaSb TFET (left), and a colorized SEM image of a nanowire with W gate metal applied (19.1)

Schematic of InAs/GaAsSb/GaSb TFET (left), and a colorized SEM image of a nanowire with W gate metal applied (19.1)

19.2 is an invited review of a “Two-dimensional Heterojunction Interlayer Tunnel FET (Thin-TFET): From Theory to Applications” by Mingda Li from Cornell. The ThinFET was formed from WSe2/SnSe2 stacked heterostructures, which intrinsically has a smaller gate-drain capacitance due to its vertical stack.

Next we have the first hybrid Phase-Change-Tunnel FET (PC-TFET) device (19.3). Experimental digital and analog benchmarking of the new device was performed, and it was compared with Tunnel FETs and CMOS; it was also included into a neuromorphic computing cell, taking advantage of the phase-change mechanism.

Isoelectronic trap technology (IET) is used to improve the performance of silicon-based TFETs in 19.4, and Shinichi Takagi of U. Tokyo gives an invited review (19.5) of “Tunneling MOSFET Technologies using III-V/Ge Materials”.

The next paper details InGaAs/GaAs and Ge/GeSn p-TFETs on GaAsSb and GeSn substrates (19.6), and the last paper harks back to nanowires, this time vertical silicon GAA-NW transistors with a dual work-function, high-k last RMG process (19.7).

Session 20: Power Devices — Focus Session: System-level Impact of Power Devices

This session focuses on the use of GaN and SiC power devices and how they have expanded the spectrum of applications to very high voltages, temperatures and power levels, compared to existing silicon-based devices.

It comprises a sequence of invited talks:

  • Wide Bandgap (WBG) Power Devices and Their Impacts On Power Delivery Systems,” by Alex Huang, North Carolina State University
  • Si, SiC and GaN Power Devices: An Unbiased View on Key Performance Indicators,” by G. Deboy et al, Infineon/ETH-Zurich
  • System-Level Impact of GaN Power Devices in Server Architectures,” by A. Lidow et al, Efficient Power Conversion Corp.
  • GaN-based Semiconductor Devices for Future Power Switching Systems,” by H. Ishida et at, Panasonic
  • Application Reliability Validation of GaN Power Devices,” by S. Bahl et al, Texas Instruments
  • Horizon Beyond Ideal Power Devices,” by H. Ohashi, NPERC-J (Japan’s New-Generation Power Electronics & System Research Consortium)

 Session 21: Characterization, Reliability and Yield — Reliability and Characterization of Memory Devices, Contacts and Interfaces

This session starts with a reliability study of a 128 Mb GaSbGe PCM device (21.1), followed by an examination of the effect of filament shape on Cu/Al2O3 CBRAMs (21.2).

21.3 investigates the microsecond transient thermal behavior of HfOx-based RRAMs, and 21.4 identifies the switching/failure mechanisms in non-filamentary RRAM.

Back in September GLOBALFOUNDRIES and Everspin announced production of Everspin’s 256 Mb DDR3 perpendicular magnetic tunnel junction (pMTJ) product, and availability of the embedded version of the technology on GF’s 22FDX platform. Jon Slaughter of Everspin is giving an invited talk (21.5) “Technology for Reliable Spin-Torque MRAM Products”, which will include a review of the performance of the 256 Mb, DDR3 ST-MRAM chip.

In 21.6 we have an endurance study of perpendicular spin-transfer torque (p-STT) memory, and 21.7 describes Schottky contacts between silicon and graphene, to finish the session. 

Session 22: Optoelectronics, Displays, and Imagers — Optoelectronic Integration

We start with GeSn thin-film transistors (TFTs) formed on a quartz substrate, which have high carrier mobility and luminescence (22.1). The second paper utilizes the optical properties of SOI wafers to couple an InGaAsP laser to a pair of distributed Bragg reflectors (DBRs) and a grating coupler diffracting the light to an optical fiber (22.2).

Schematic of a heterogeneous hybrid III-V/Si DBR laser cavity with the gain zone, two DBRs and a grating coupler at one side diffracting the light to an optical fiber (22.2)

Schematic of a heterogeneous hybrid III-V/Si DBR laser cavity with the gain zone, two DBRs and a grating coupler at one side diffracting the light to an optical fiber (22.2)

22.3 is an invited presentation by Dan Buca of Forschungszentrum Julich, “GeSn Lasers for CMOS Integration”, followed by a description of a high-gain optical amplifier, monolithically integrated with an InGaN-based laser diode (22.4).

A germanium-on-silicon Zener emitter is detailed in 22.5, and NXP and A*STAR come up with a surprising method of optically sensing the states of flash memory in the last paper (22.6); they demonstrate it with the on-chip integration of an optical micro-ring resonator and a memory array, and claim 1200× sensing speed improvement.

That is numerically the last paper of the afternoon, if not chronologically – the sessions with seven papers finish at ~5.15 pm.

In the evening at 8 pm we have the panel sessions in the Continental Ballrooms:

  • How Will the Semiconductor Industry Change to Enable 50 Billion Connected Devices? Moderator: Prof. Aaron Thean, University of Singapore
  • Challenges and Opportunities for Neuromorphic and Machine Learning, Moderator: Marc Duranton, Sr. Member of the Embedded Computing Lab, CEA

But, if you have the stamina after a day-full of technology, Applied Materials, Coventor and Synopsys are holding seminar/receptions between 5 and 8 pm. The Applied Materials event is at the Parc 55 hotel just around the corner from the Hilton, on “Rethinking Scaling: New Paradigms, New Approaches”, and Coventor is looking at the back-end “BEOL Barricades: Navigating Future Semiconductor Yield, Reliability and Cost Challenges”, in the Union Square rooms on the 4th floor of the Hilton. The Synopsys reception is around the corner at the Serrano Hotel from 6 – 8 pm.

Wednesday

Session 25: Process and Manufacturing Technology — Beyond Conventional CMOS

25.1 looks at the contribution to source/drain resistance made by interfaces such as the p-SiGe/p-Si interface, and also studies the n-Si/n-Ge, n-InAs/n-Si and n-InAs/n-Ge interfaces.

The second paper examines the effects of doping HfO2 with different ions, both cations and anions, in order to influence and predict ferroelectric properties; and demonstrates an N-doped dielectric layer in a ferroelectric FET (25.2).

Next up is a description of an AgTe/TiO2-based threshold switching (TS) device that can be integrated with a conventional BEOL (25.3). When switched on, a conductive silver filament forms, and when switched off, the filament dissolves and conduction stops. A TiN liner is put between the AgTe and the TiO2 to prevent silver diffusing into the TiO2 during BEOL processing; a steep subthreshold slope of less than 5 mV/decade is claimed.

Schematic and TEM image of the integrated TS transistor (25.3)

Schematic and TEM image of the integrated TS transistor (25.3)

We live up to the theme of “Beyond Conventional CMOS” in 25.4; here we have InGaAs-on-insulator MOSFETs, fabricated by direct wafer bonding (DWB)and epitaxial lift-off techniques, aimed at monolithic 3D integration; and in addition, the InP donor wafer can be re-used.

We stay with wafer bonding in 25.5, but in the photonics realm; an InGaAsP/Si hybrid MOS-based phase shifter formed on a Si photonics platform by using DWB is described.

The last talk of the session is an invited one, by Janos Veres of Xerox PARC; “Additive Manufacturing for Electronics “Beyond Moore””. The ability of additive manufacturing and 3D to change the paradigm of electronics production will be discussed. 

Session 26: Sensors, MEMS, and BioMEMS — N/MEMS for Physical, Chemical, and Bio-sensing

A solid-state pH and chloride sensor is formed from iridium oxide (IrOx) and silver chloride (AgCl) electrodes fabricated on a Si substrate in 26.1, with a microfluidic reference electrode incorporated. 26.2 describes the use of a spin-transfer torque operated magnetic tunnel junction (STT-MTJ) to make a thermal sensor more than 0 times faster than a traditional CMOS thermal sensor.

Next Chae Ahn from Stanford gives an invited talk (26.3) on the challenges of encapsulating MEMS timing reference devices, with particular reference to those produced by SiTime Inc; and the influence of the thickness of a tribo-dielectric layer on the performance of a tribo-electric energy harvester is described in 26.4.

In 26.5 we look at a brain probe which uses neuron-sized LEDs to stimulate the neuronal proteins with light instead of electrically. Micro-LEDs and electrodes are integrated on thin silicon probes formed by micro-machining to give a four-probe opto-electrode which can be inserted into the target area of the brain.

The four shanks of an optoelectrode with its tips are shown (26.5), with the LEDs illuminating. The inset (left) shows a SEM view of a tip.

The four shanks of an optoelectrode with its tips are shown (26.5), with the LEDs illuminating. The inset (left) shows a SEM view of a tip.

The effect of Lamb waves (sound waves confined to a thin layer) on the two-dimensional electron gas (2DEG) in an AlGaN/GaN heterostructure are studied in 26.6, generating an acousto-electrical effect that results in DC current flow between contacts on the acoustic layer.

In 26.7 a micro-oven is used to control a CMOS-MEMS oscillator, with a built-in temperature detector for self-test and resonator temperature monitoring; and in the last talk (26.8), self-assembled perfluorodecyl-triethoxysilane (PFDTES) is used as an anti-stiction coating on the contacting parts of a nano-electro-mechanical relay. 

Session 27: Memory Technology — MRAM

Hynix and Toshiba kick off the session with a joint paper (27.1) on a 4-Gb perpendicular SST-MRAM (spin-transfer-torque magnetic random access memory) with a 9F2 cell area. The vertical stack and a plan-view SEM image of the MTJ array are shown below, clearly using some of the techniques used in DRAMs, such as buried wordlines.

27.1

Just eyeballing the scale bar on the SEM image, it looks like the MTJ cell diameter is ~50 nm, which compares with ~60 nm in a 20-nm DRAM.

This is followed by a Samsung exposition (27.2) of an 8-Mb STT-MRAM embedded into the BEOL of their 28-nm logic process, again using a perpendicular MTJ (pMTJ). Third up (27.3) is a study of data extraction methods for perpendicular SST-MRAM, to evaluate retention as cell size decreases from 250 nm to 50 nm in diameter.

Qualcomm and Applied Materials shrink the pMTJ cell size even further in 27.4, down to 25 nm, studying the properties in 1-Gb arrays. 27.5 is an examination of a voltage-torque MTJ MRAM; and in the last paper (27.6) Toshiba describes another voltage-controlled MTJ, with volts used to select bits, and spin-torque to write.

Session 28: Circuit and Device Interaction — Technology Elements for 5nm Logic Platform and Advanced Automotive/IoT Applications

Samsung manages to integrate strained Si-channel NMOS and SiGe-channel PMOS finFETs in 28.1, using a buried strain-relaxed SiGe buffer layer to create tensile-strained NMOS and compressively-strained PMOS devices. The gate stack uses a common interfacial layer, high-k, and metal gate, without dual-work-function metals, and a simplified multi-Vt module.

Imec (28.2) discusses a 5-track standard cell (Intel’s 14-nm uses 7.5-T cells) optimized for finFETs and horizontal nanowires, using single-fin design and air-gap spacers. Stanford and ARM analyse a 32-bit processor core designed with 5-nm design rules (28.3), looking at transistor and interconnect technologies.

In the next paper (28.4), a new method of near-threshold-voltage (NTV) design optimization for FinFETs is developed, and demonstrated based on silicon data using Vdds of 199 and 145 mV.

In 28.5 Xilinx looks at high-speed analog circuits, and presents an optimized MOS varactor design and finFET model; both were validated in a 16-nm finFET process in a high-speed transceiver design.

The final talk is an invited review of “Embedded Flash Technology for Automotive Applications”, by T (Tadashi?) Yamauchi from Renesas, including the integration of their split-gate MONOS eFlash into a 28-nm HKMG process.

Session 29: Compound Semiconductor and High Speed Devices — Ultra-High Speed Electronics

This session is a special focus session, again consisting of invited papers on TeraHertz technology and applications:

  • 29.1InP HEMT Integrated Circuits Operating Above 1,000 GHz,” by W.R. Deal et al, Northrop Grumman
  • 29.2A 130 nm InP HBT Integrated Circuit Technology for THz Electronics,” by M. Urteaga et al, Teledyne Scientific Co./SungKyunKwan University
  • 29.3Resonant-Tunneling-Diode Terahertz Oscillators and Applications,” by M. Asada and S. Suzuki, Tokyo Institute of Technology
  • 29.4Physics of Ultrahigh Speed Electronic Devices,” by M. Shur, Rensselaer Polytechnic Institute
  • 29.5InP/GaAsSb DHBTs for THz Applications and Improved Extraction of their Cutoff Frequencies,” by C.R. Bolognesi et al, ETH-Zurich
  • 29.6On-Chip Terahertz Electronics: From Device-Electromagnetic Integration to Energy-Efficient, Large-Scale Microsystems,” by R. Han et al, MIT/Office of Naval Research/Cornell University/University of Michigan/STMicroelectronics/University of Texas at Dallas/Naval Research Lab
  • 29.7Active Terahertz Metasurface Devices,” by H.T. Chen, Los Alamos National Laboratory
  • 29.8Devices and Circuits in CMOS for THz Applications,” by Z. Ahmad et al, University of Texas at Dallas/NXP Semiconductors/MIT/SeoulTech/Texas Instruments/MediaTek/IDT/Wright State University /UT Southwestern Medical Center/Ohio State University/ UConn Health

Session 30: Modeling and Simulation — Steep Slope Devices and Nanowires

The first paper is a TSMC study of III-V ‘broken gap’ nanowire TFETs (30.1), claiming a 58x gain increase over a Si MOSFET; followed by simulations of TFETs at Vdds of 0.08 – 0.18V (30.2).

Then we have more TFET analyses, this time of the band-tails in 2D devices (30.3), and resonant tunnelling characteristics of inter-layer TFETs with multiple tunnel barrier layers (30.4).

30.5 demonstrates models of ferroelectric negative capacitance finFETS; 30.6 examines the performance of ultra-thin body III-V finFETS and nanowires with 15 and 10.4 nm gate lengths, confirming that GAA-NWs is the only viable architecture below 10.4 nm; and the final paper is a look at vertically-stacked NW-FETs for sub-10 nm nodes (30.7).

Session 31: Characterization, Reliability and Yield — Reliability Modeling and Characterization of Dielectrics and Interfaces

In 31.1 IBM Research studies the electronic defect states at the interface between a compress SiGe channel and the interlayer dielectric of p-FETs. Samsung also looks at SiGe p-FETs (31.2), assessing the effects of acceptor traps on negative-bias temperature instability (NBTI), and coming to the conclusion that they can lower the oxide electric field and improve the NBTI performance.

Next up is a characterization of Ge p- and n-MOSFETs with an Al2O3/GeOx/Ge gate stack (31.3), followed by the presentation of a new model for looking at NBTI and PBTI (31.4). SMIC and Peking U. review the gate dielectric reliability of TFETs in 31.5, and IBM Research is back (31.6) with a model for gate oxide progressive breakdown in n- and p-FETs

We switch topics to the back-end in an investigation by TSMC (31.7) of AC TDDB (time-dependent dielectric breakdown) in BEOL extreme low-k (ELK) dielectric in (presumably) their 10nm technology.

The final talk is a presentation on the use of self-healing in gate electrodes in silicon GAA-NW FETs (31.8), aiming at electronics for deep space missions.

Session 32: Optoelectronics, Displays, and Imagers — Thin Film Transistors for Imaging and Displays

We start the session with a demonstration of an active artificial iris (32.1) built on a contact lens, and formed solely of thin-film components. It comprises an organic thin-film photovoltaic mini-module as a power supply/integrated illumination sensor; a flexible thin-film a-IGZO circuit as a driver chip; and a liquid crystal display which acts as the iris. Such a device can help with iris deficiencies that can bring great discomfort and extreme photosensitivity for sufferers.

A “smart” contact lens system, comprising an integrated display, energy harvesting components, communication antenna, sensors and more (32.1).

A “smart” contact lens system, comprising an integrated display, energy harvesting components, communication antenna, sensors and more (32.1).

In 32.2 an elevated-metal metal-oxide (EMMO) thin-film transistor (TFT) is proposed that can also act as an etch-stop layer in a high-resolution display stack. 32.3 is a proof-of-concept study, forming polysilicon TFTs on paper, sintering a liquid silicon solution at 100 oC or below.

Next up in 32.4 is Adrien Pierre of UCal. Berkeley, giving an invited talk on “High-detectivity Printed Organic Photodiodes for Large Area Flexible Imagers”, followed by a report on dual-gate a-Si:H fin-TFTs (32.5), which have photosensitivity when operated sub-threshold.

While not conventional TFTs, FD-SOI n- and p-FETs can be light-sensitized by putting a diode in the substrate below the transistors (32.6). The photo-generated carriers in the diode can create a back-bias, shifting the threshold voltage of the transistors. This capability was used to demonstrate a light-controlled SRAM.

Then – lunch! IEDM and IEEE Women in Engineering have organised their annual Entrepreneurs Luncheon, which will feature Vamsee Pamula, co-founder of Baebies, Inc. a company developing digital microfluidics technology for newborn screening and pediatric testing.

In parallel, ASM is hosting their usual Wednesday lunchtime seminar at the Nikko Hotel across the street from the Hilton, this year the topic is “Covering 3D Devices”.

And be back in the Hilton for the afternoon sessions, beginning at 1.30 pm.

Session 33: Process and Manufacturing Technology — Ge Channel Devices

We start with CVD-grown Ge/GeSn/Ge quantum well (QW) p-MOSFETs with transverse uniaxial tensile strain, reportedly giving ~7% mobility enhancement leading to a record high mobility (33.1). The CVD process enables a low thermal budget of 400oC.

The second presentation is invited – Seiichi Miyazaki of Nagoya U. is speaking on “Processing and Characterization of Si/Ge Quantum Dots” (33.2), detailing their research on silicon quantum dots with a germanium core.

Then we explore (33.3) high performance Ge CMOS with quantum well-structured channels a single MoS2 capping layer. The MoS2 confines the carriers within the Ge layer, reducing scattering at the dielectric interface and improving performance.

33.4 demonstrates a silicon-passivated Ge NMOS gate stack, with LaSiO doping at the HfO2/SiO2 interface, that is compatible with 3D structures and has improved PBTI reliability and electron mobility.

The fifth paper of the session discusses Ge finFETs fabricated by neutral beam etching and oxidation, which gives low-defect, smooth surfaces and improved performance compared with conventional reactive ion etching (33.5).

Lastly, there is a description of junction-less GAA n-FETs that use selective laser annealing on epi-Ge on SOI (33.6).

Session 34: Nano Device Technology — Devices Based on Quantum and Resistive Switching Phenomena

Now we get into the realm of quantum dots and qubits; in 34.1 we hear a study of coupled phosphorus donors with MOS quantum dots, giving two-axis control of a two-electron spin logical qubit. 34.2 deals with silicon-based charge qubits with coherence times and operating temperatures two orders of magnitude larger than other reported semiconductor systems.

Nanomagnet networks are explored in 34.3, which are apparently ideal for Ising computing (a method of solving combinatorial optimization problems). VO2 is used for a two-terminal hysteretic voltage switch in 34.4, since it can be voltage-induced to change from metal to insulator and back; in this case it is applied to analogue signal processing.

The same phenomenon is utilised to make low-voltage artificial neurons, that can be voltage-scaled down to 0.3 V (34.5), and threshold switches made with Ag/HfO2 are used as selector switches for PCM-based cross-point memory in 34.6.

Atom-switches (atomic-scale metal-filament switches) are integrated with silicon MOSFETs (34.7) to give ‘atom-switch FETS’ with extremely low leakage current, low operating bias, and sub-threshold swing of less than 5 mV/decade.

The last paper of the day (34.8) presents two dimensional (2D) RRAM devices using multilayer hexagonal boron nitride (h-BN) as the active switching layer; the cyclical release and diffusion of B ions are the key physical mechanisms responsible for switching, forming a boron (B)-deficient conductive filament.

Session 35: Circuit Device Interaction — 3D Systems, Enabling Technologies and Characterizations

This session starts with an invited talk by Fabien Clermidy from CEA-LETI (35.1), reviewing “New Perspectives for Multicore Architectures using Advanced Technologies”, showing how back-end NVM, monolithic 3D integration (CEA-LETI’s CoolCube), and 3D stacking can be used to build more power-efficient systems.

TSMC expands on their InFO (integrated fan-out) technology to build inductors into the stack for integrated voltage regulators to couple with their 16-nm finFET devices (35.2). The InFO substrate was used in volume in the iPhone 7 series, so it will be interesting to see how it has evolved – we already have silicon-based trench capacitors included in the package.

35.3 discusses on-chip high-Q magnetic inductors for power conversion efficiency greater than 90%; 35.4 examines ESD diodes in a bulk GAA-NW process; 35.5 characterizes the hold-time margins of flip-flop arrays across a range of process/temperatures/voltage/aging conditions in Intel’s 22-nm finFET process.

The last presentation models the thermal resistance of the back-end interconnect and finFETs in face-up and face-down formats (35.6).

Session 36: Modeling and Simulation — Materials and Interfaces

The effects of surface roughness scattering (SRS) and how it limits carrier mobility in finFETs and GAA-NW FETs are modelled in 36.1, and the performance improvement in GaN devices given by nitridation is investigated in 36.2.

Manipulating Spin Polarization and Carrier Mobility in Zigzag Graphene Ribbons using an Electric Field” is the topic of an invited lecture by Christophe Delerue of IEMN (36.3). Density functional theory simulations were performed on HfO2/SiOxNy/SiGe stacks with a range of Si/O/N compositions (36.4), and measured experimentally, confirming that lower defect density results from a sub-stoichiometric SiON layer.

36.5 examines PBTI in InGaAs NW-FETS with Al2O3 and LaAlO3 gate dielectrics; simulations seem to show that Al2O3 performs better than LaAlO3.

Contact resistivities in n-type III-V materials are given more extensive modelling in 36.6, indicating higher contact resistivity than earlier models. The last paper (36.7) investigates the transport mechanisms of diamond-like carbon films, since these are now becoming of interest for high-voltage devices. A polarization effect was modelled in a TCAD tool, giving good agreement with experiments.
Chronologically the last paper is due at 4.30 pm – by then a lot of attendees will have headed for home, especially West-coasters who want to get home today.

We should not forget the exhibitors, either – at the time of writing we have:

Cambridge Press

Celadon Systems

Coventor

Everbeing

Global TCAD

GMW Associates

Park Systems

PicoSun

Proplus Design Solutions

Silvaco

Springer

Synopsys

The exhibits are open all three days of the conference, with free coffee available – seeing as there are no coffee breaks during the sessions, it might be good to take time out and change pace in the exhibit area.

I will definitely be suffering from information overload at the end, and becoming brain-numb; but with 233 papers and an average of six parallel sessions at any one time, plus the offsite events, that’s not really surprising. On the other hand, where else do we go to get all this amazing stuff?

Time to unwind, maybe do a little holiday shopping, and go for an indulgent meal.

 

Reference

  • -J. Cho et al., “Si FinFET Based 10nm Technology with Multi Vt Gate Stack for Low Power and High Performance Applications”, VLSI 2016, pp. 12 -13.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

Leave a Reply

Your email address will not be published. Required fields are marked *