EUVL Focus



“Taming of Pele – the Fire Goddess”: 2013 EUVL Workshop Highlights

Keynote talks

The sixth EUVL workshop was held June 11, 14 in Maui, HI with participants from the US, Korea, Taiwan, Japan, Europe and China.

The first keynote talk was delivered by Sam Sivakumar, who is leading the EUVL pilot line for Intel Corporation. He showed the yield data from his EUVL pilot line, obtained since his SPIE Advanced Lithography symposium presentation, that lead him to believe that there appears to be no fundamental roadblock to EUV achieving yield parity with 193i;  any qualifying issues, he said, are not related to EUVL.  In Sivakumar’s opinion, we must make progress on key issues of source power and mask defectivity in the next 1- 1.5 years. Although power delivery is getting better for the ASML’s NXE3100 scanner being used in his fab, source power remains the main impediment to EUVL being placed in HVM.

Tatsuhiko Higashiki (Toshiba) in the second keynote talk emphasized that at nodes 1x nm and below, non EUVL choices are not only unattractive – they are, in fact, scary!  EUVL remains the only alternative, supplemented by double patterning (DP) and directed self-assembly (DSA). He also cautioned that in comparing EUVL to other lithography options, one needs to think about not only cost but also cycle time – something many comparisons do not do include in their cost of ownership calculations, even though increased cycle time is inherent in double patterning techniques and adds significant cost to that technology.

Panel Discussion: EUVL Readiness and Insertion Timeline

Following the keynote talks, panelists gave their opinions on what will be available to support lithography in the near future and related challenges. Sivakumar pointed out that EUV is currently targeted as the primary option for the 7nm node (2015 development, 2017 high volume manufacturing [HVM]) by Intel.

Sushil Padiyar of Applied Materials (AMAT) pointed out for the 12- 8nm nodes, choices are 13.5nm with double patterning (DP), 13.5 nm with hyper NA, or going with 6.x nm.  The 13.5nm with DP option seems to be the best candidate, as its feasibility has been already demonstrated.  The best guesses for 5-7nm are EUV with self aligned DP, and a combination of 193i multi-patterning and EUV. He pointed out the current positional accuracy for DSA is ~ 3nm, so the 193i /EUV combination will most probably need to be teamed with a self aligned (SA) process.

Tatsuhiko Higashiki (Toshiba) said that the semiconductor business will mature if lithography and mask cost reduction is not achieved. He believes that 9 inch masks are preferred by memory makers and EUV and DSA combinations will be the leading choices for lithography in the future.

Pawitter Mangat of GlobalFoundries pointed out the urgent need for EUVL readiness in the next two years. In his opinion, the industry needs to decide soon on masks for high NA scanners due to long lead times for developing this technology.

In a survey distributed to workshop attendees on EUVL readiness and technical challenges, most respondents predicted HVM insertion in the 2015-17 timeframe. Source power, mask defect and pellicle readiness were considered to be the leading challenges.

Workshop highlights

Workshop presentations focused on R&D topics, with the following highlights:

Greg Denbeaux of the University at Albany described his experimental design to study electron chemistry of secondary electrons. This setup will allow direct measurement of electron penetration depth and direct measurement of electron blur. Results will be used to improve resist modeling software to enhance our understanding of the functioning of EUV resists.

Grace Ho of NUK University, Taiwan described “Outgassing, Photoablation and Photoionization of Organic Materials by the Electron-impact and Photon-impact Methods.” The question of equivalence of resist outgas testing via electron beam vs. EUV photons is still not fully answered and in some cases, per her work, one can get different outgassing results for these two methods. As the basic physics of these two processes is different, this is not a surprise. As e-beam testing is frequently conducted to qualify new EUV resists, this topic needs to be continued to be evaluated to ensure the accuracy of assessments.

Cameron Moore of XEI Scientific described damage to various EUV specific materials under plasma cleaning. As dry plasma cleaning shows advantages over wet cleaning of EUV related contamination due to reduced damage to EUV components, we need to understand the effects of plasma cleaning on various vacuum components as well.

Yuriy Platonov of RIT described results of normal incidence collector optics for laser produced plasma (LPP) with average collector reflectivity of 54.3 percent. He also reported that for his Illuminator optics, the central wavelength is within +/-0.8 percent for all five optics sets. For collector refurbishment, he has demonstrated loss of only ~1 percent after two refurbishment cycles. Increased collector reflectivity and stable central wavelength is essential for increasing EUVL scanner throughput. Moving forward, we can see an increased demand for refurbication of normal incidence collectors for Sn Laser produced plasma (LPP) sources, so these were important results.

The meeting included six representatives from China, reflecting that nation’s increasing efforts in EUVL R&D. Prof. Yanqiu Li of Beijing Institute of Technology showed her modeling efforts for 0.3 NA EUVL scanners.

Performance of Cymer sources was presented in the US region review by Greg Denbeaux of U Albany. Sn LPP source now has power of 50 W with 0.5 percent stability for master oscillator power amplifier (MOPA) operation with prepulse. These results were from several continuous one-hour runs, and operation time is expected to rise soon. Denbeaux also presented results from SEMATECH and CXRO, among others, actively involved in EUVL related research. Overall, six EUVL regional overviews were presented from the US, Europe, Taiwan, China, Korea and Japan, demonstrating strong commitment in development of EUVL infrastructure and R&D. However, R&D for the most critical issue of HVM sources is still mostly absent due to lack of funding.

Padraig Dunne of University College Dublin (UCD) pointed out that 6.x nm emission from gallium (Ga), which is a liquid at 30° C, and germanium (Ge) is possible with electron temperature of 50-60 eV. This is significant as 110 eV plasma is needed for gadolinium (Gd), a material currently being considered for light sources for 6.x nm based lithography.  As the temperature of Ge plasma is less than that of Gd plasma, it will take less laser power for Ge based 6.x nm sources. In addition, he suspects that conversion efficiency (CE) may be greater for Ga and Ge plasma than that for Gd.

Akira Sasaki of JAEA pointed out that Sn mist targets, an alternative tin delivery system being currently considered for Sn LPP sources, will require new modeling techniques and shared initial results of his modeling. Modeling work is certainly needed to ensure that maximum benefit for CE increase is obtained with this new method.

Energetiq, ETH Zurich (together with their spin-off company, Adlyte) and NewLambda shared their continued efforts on development of metrology sources for supporting mask defect detection metrology tools. Currently, Energetiq’s sources are used in the first generation of mask defect metrology tools under development.  ETH Zurich has started a new facility (ALPS II) for further development of their metrology sources.

Addressing the topic of Mask infrastructure readiness, Pawitter Mangat of GlobalFoundries pointed out that zero defect printability is not same as zero defectivity on masks. He presented an excellent summary of mask challenges, actions needed to address them and new opportunities of thinner absorber and pellicle development.

Hiroto Kudo of Kansai University described molecular resists based on Noria derivatives (Oligomer derivatives) for EUV resists. A smaller line edge roughness (LER) as compared to current resists is expected in future through use of these polymers.

Yoshi Hishiro, JSR Micro Inc., shared a wide variety of improvements achieved by his company in EUV resists for 16nm node. One example is LER improvement via shorter acid diffusion length and development of EUV topcoat to remove out of band radiation (OOB). As OOB increases LER of printed features from 4.6 to 6.8nm, topcoat was able to bring LER down to 5.0nm.  He showed that firm rinse reduces pattern collapse and decreases LER by 15 percent. In the results on use of DSA, an EUV+DSA approach allowed an increase in sensitivity of resist and improved the CD uniformity (CDU) of patterning, resulting in 14nm line and spaces (L/S) and 18nm contact holes (CH).

Takahiro Kozawa of Osaka University gave an excellent talk on stochastic effects in chemically amplified resists for EUVL. In his study, he determined that  the amount of chemical reaction required at 16nm half-pitch (HP) increases by 74 percent compared to 60nm HP, and the optimum diffusion length for 16nm L/S pattern is ~ 10nm.  Such fundamental work provides insight into the working of EUVL resists will enable development of newer resists to reduce LWR.

Take Watanabe of Hyogo University presented his analysis using synchrotron radiation  (SR) based absorption spectroscopy for the chemical reaction analysis for EUV resist to explain the difference in resist sensitivity of various EUV resists from increase the acid yield.

Sushil Padiyar of AMAT presented results of 9nm CH and 8nm L/S via EUV DP. This work emphasizes the role of DP in the extension of EUVL.

Awards

The workshop attendees voted to award Best Oral Paper to Prof. Takeo Watanabe of Hyogo University for his invited presentation, “Recent Activities of the Actinic Mask Inspection using the EUV Microscope at Center for EUVL.

The audience also posted decisions for the best poster papers, with these awards limited to students this year. First place went to SeongChul Hong of Hanyang University, South Korea for his paper titled, “Attenuated PSM for mitigating PSN effect in EUVL.” He is a graduate student of Prof.  Jinho Ahn.  

Second place poster award was given to Hung-M. Lin of NUK, Taiwan for her paper, “Quantitative Outgassing Study of Photosensitive Films upon Irradiation at 13.5 and 6.7nm.” She is a graduate student of Prof. Grace Ho.

Summary

EUV source power is showing progress but remains the main issue for HVM insertion. Without innovations, progress in this critical area may remain slow. Intel plans pilots in 2015 and 2017 HVM at the 7 nm node. Mask issues still require industry coordination, but the industry can be expected to address them with significant efforts. EUV DP, maybe with help from DSA, seems to be the choice for going below 10nm node and remains preferred by chip makers over 193nm immersion multipattern based approaches. EUV Resist is showing good progress.

Prologue – Taming of Pele – the Fire Goddess

Looking at the history of optical projection lithography, it appears we have learned how to manipulate photons well but not how to generate them effectively from hot plasmas for plasma machines that can operate 24 x 7 at a power level that we need in factories. We do not know if we can support the levels of power that we will need in the near future via our current approach. We need new ideas and we need to think out of the box to improve current technology and identify strong alternative candidates. It comes down to learning more about the taming of hot plasma.

In Maui, site of the EUVL Workshop, there are stories about the taming of Pele – mythical goddess of fire – who with burning lava (the geological version of hot plasma) created the islands of Hawai’i, with controlling force delivered by the surrounding sea. Hawaiian poetry also tells us that the the same force also tamed her:

Huaka’i ihola ‘o Pelehonuamea i ke kai Ko’olau

ma’e’ele ‘o Pele i ke kai kapu o Kamohoali’l

Pele, who gave birth to the reddish earth, flows like the ocean to Ko’olau

But she is benumbed by Kamohoali’I’s sacred seas.

[Hawaiian poetry and translation taken from Na Wahi Kapu o, a beautiful book of photographs of  Maui and poetry by Kapulani Landgraf, Native Books, 2003.]

As we lithographers struggle to transform hot tin plasmas into useful servants that will help us print circuits night and day, let us be guided by the tenacity of the Hawaiian seas, which tamed the seemingly uncontrollable energies of Pele herself!

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

2 thoughts on ““Taming of Pele – the Fire Goddess”: 2013 EUVL Workshop Highlights

  1. Pingback: Surface matters: Huge reduction of heat conduction observed in flat silicon channels | Solid State Technology

  2. Pingback: Surface matters: Huge reduction of heat conduction observed in flat silicon channels | Anchor Science LLC

Leave a Reply

Your email address will not be published. Required fields are marked *