EUVL Focus


Current Challenges and New Frontiers for EUV Sources: Update from 2018 Source Workshop

By Vivek Bakshi, EUV Litho, Inc.

The 2018 Source Workshop was held in November in Prague, co-organized with HiLASE. Workshop location was a brand new facility of ELI-BL across the street from HiLASE. These two institutions are doing leading work on developing new laser technologies. It was exciting to hold the workshop at these world-class institutes, where leading lasers not only are being developed, but also are being made available for exploration of new frontiers of fundamental research and industrial applications.

Among the highlights from the workshop was ASML’s presentation of progress in EUV source and EUVL scanner. The latest version of the scanner currently has 246 W source with 80% availability to support 140 wafers per hour (WPH) throughput. It was pointed out that the reason for downturn is that long mean time to repair (MTTR).  Pellicle transmission is now at 83%, with a goal of 90%.

EUV source power is now feasible at 450 W (3% duty cycle and 15 ms bursts). Such sources will provide additional power for the next generation of EUVL scanner at 0.3 NA. However, power requirements for the 0.5 NA scanner are yet not clear, and also we lack clarity on how well traditional Sn LPP will support power requirements between 500 – 1000 W. Free-electron laser (FEL) sources technology is an option, but so far we do not have experimental results on which to base our opinions.

Metrology sources for EUVL are getting ready to support high volume manufacturing (HVM) level mask metrology tools. The brightness, long term stability, power and cost of ownership need to improve to support 5 nm node tool specifications.

Blue-X: The New Frontier

The Source Workshop this year focused on Blue-X, a potential extension of EUVL via wavelength reduction. After the high NA option, wavelength reduction offers an attractive approach for extending Moore’s Law, and now is the time to start looking at the pros and cons of this option to extend optical projection lithography via EUVL. There were about a dozen papers on this topic addressing source and optics that will be required for any wavelength reduction. There were several papers, including a keynote talk, on how sources for wavelengths from 10-1 nm can be generated. There is no fundamental limit on generating such sources via laser-produced plasma (LPP) or FEL. There was very cautious optimism that we can make such sources. LPP sources have inherent discreteness in emission, which is not shared by FEL. FEL, however, in addition to not having demonstrated high power, has several other challenges that also need to be addressed, in order for it to be a viable candidate for HVM.

It was clear in the workshop that the key to success for Blue- X may largely depend on the successful  development of the required optics, which may end up guiding the wavelength selection. Torsten Feigl of optiXfab clearly showed that challenges to having viable optics are severe, but he was also clear that we must start NOW, if we ever want this optics to be ready. In the coming years, I expect to see some progress in traditional and new approaches for optics, and I also look forward seeing to what my brilliant colleagues will come up for continued extension of Moore’s Law. One thing that is clear to me is that Moore’s Law is not dead, and we have room for growth. With optimism, hard work, innovation and investment, we will can extend optical projection lithography beyond 13.5 nm.

Other significant updates from the workshop

Lifetime achievement award

It was indeed a well-deserved honor when a Lifetime Achievement Award was given to Prof. Gerry O’ Sullivan, who retired last fall from UCD Physics. In “retirement,” he is promising to be as active in research as before, without regular teaching expectations. He is credited with finding out in the mid‑1980s that a strong narrowband emission is generated from a plasma of rare earth elements, that the peak wavelength scales with the atomic number of the element, and that a Sn plasma emits a strong band with the spectral peak at 13.5 nm. These observations are very significant in laying the foundation of Sn LPP based EUV Lithography, which today is extending Moore’s Law into coming decade. We thank Gerry for his contributions and his continued engagement in his work on the theoretical and experimental aspects of LPP sources, which will not only allow us to extend the power of LPP sources, but may also lead us one day to successful introduction of Blue-X sources for extension of EUVL.

 

In the following section, I have listed summaries  of talks by many of the notable speakers at the workshop.

 

Igor Fomenkov, ASML

Thirty-four NXE EUVL scanners systems are now operational at customer sites. Dose-controlled power of 250 W has been demonstrated on multiple tools at customer locations. Collector lifetime is now ~ 150 billion pulses in the field. Higher power CO2laser development continues to support EUV power scaling. Droplet generator with improved lifetime and reliability of >700 hour average runtime has been shown in the field. A more than 3X reduction of maintenance time for droplet generator has been achieved. The path toward 500 W EUV power has been demonstrated in research. Conversion efficiency (CE) is up to ~ 6 %. In-burst EUV power is up to 450 W.

Gerry O’Sullivan, University College Dublin

CE can still be increased at 13.5 nm for Sn LPP sources. Modeling needs more atomic data for better predictions. Solid state mid-infrared (IR) lasers could give better beam profiles (spatially and temporally).

For Blue- X options, the ideal source depends on mirror bandwidth.  For very narrow bandwidth at low wavelength, H-like 1s-2p line in low Z ions will do best. Water/ammonia/organic liquid droplet with dual ps pulse irradiation are options.

Vivek Bakshi, EUV Litho, Inc.

I presented following questions to the research community in regard to Blue-X (an option to extend EUVL via wavelength reduction):

  1. How we can get ~70% reflectivity in the 10-1 nm region?
  2. How far can new multi-layer (ML) deposition technologies take us in reducing interface roughness for increased reflectivity at lower wavelengths?
  3. What innovations are possible in optics for Blue-X?
  4. Is unresolved transition array (UTA) the best option for Blue-X sources – and if so, which one? Lighter elements?
  5. Drive lasers for 100- 300 kW – which technology offers the best cost of ownership (CoO)?
  6. FEL – can we deliver 500- 1000 W, while addressing current concerns about FEL?

Hans Hertz, KTH/Albanova

Blue-X sources: Liquid-jet laser plasmas with wavelength 2-11 nm emission are decently well understood. Power and stability targets are possible. Mirrors? Will it happen?

Klaus Bergmann, Fraunhofer– ILT

Proposed alternative emitters for 6.x nm (in addition to known Gd and Tb) of line emitters (e.g. Al and Gd alloys with a lower melting point). Also presented results for quasi-broadband emission in water window region for Ar and Ar/S02.

Torsten Feigl, optiX fab

It‘s really hard to make high-reflective multilayers for wavelengths < 13.5 nm. Challenges are low reflectance and narrow bandwidth. We need to match source emission with multilayer absorption edges. Still a very long and steep way to go … but good to start now.

Meisels, Institute of Physics, Austria

For 13.5 nm (Mo/Si system), combined grading and superlattices enables all-angle reflection. For sub 10 nm reflectance of Cr/Sc multilayers near 3.12 nm can be >60%.

W. Siders, Lawrence Livermore National Laboratory

Scalable and efficient 2-mm beam analysis tool (BAT) laser is a strong candidate for the next-gen Blue-X driver laser. Systems are possible with pulses of 3 J, 100 k Hz, 100 fs.

Johannes Kaschke, TRUMPF

Roadmap towards higher EUV-power scaling and possible scaling options were presented. Possible increases in power via repetition rate scaling could pave the road toward 500 W EUV sources.

Sebban, Université Paris-Saclay

Sources developed that enable single-shot coherent diffractive imaging (CDI) experiments (narrow bandwidth, high coherence, Fourier limited).

Thomas Metzger, TRUMPF

1 myttrium aluminum garnet (YAG) lasers with 500W, 6-100 kHz (standard) demonstrated. Under development 1kW, 5-100 kHz (standard – new goal 500fs).

Akira Endo, HiLASE

Proposed high brightness attosecond EUV-XUV sources. Quantum technology will be leading the next decade. Continued development of kW, picosecond Yb:YAG laser as a driver for advanced light sources.

Peter Kraus, ARCNL

Proposed high harmonic generation (HHG) sources as ultrafast, coherent, tabletop XUV/soft X-ray sources. Unique EUV/soft X-ray HHG source (<600 eV / 2 nm) will serve many collaborations within and outside ARCNL. HHG lasers will be used for study of spectroscopy of resists.

Hakaru Mizoguchi, Gigaphoton

Pilot#1 is up and running, and has demonstrated HVM capability. High conversion efficiency (CE) of 5% is realized with pre-pulse technology. High speed (>90m/s) and small (20micron) droplets have been realized. Output power of 250 W, in-burst mode, 50% duty cycle (125W average) for several minutes and 113W in-burst power at 75% duty cycle (85W average) for 143 hrs.

Yusuke Teramoto, Ushio Inc.

For Laser-driven source, highest brightness of 100 W/mm2/sr was obtained at 20 kHz (laser power 160 W). Brightness efficiency was improved by a factor of 1.6 by introducing a short-pulse, high-intensity laser. 50 W/mm2/sr was obtained at 15 kHz (laser power 50 W).

Mikhail Krivokorytov, RnD-ISAN/EUV Labs and ISAN

New design for LPP metrology sources. With ytterbium pulsed fiber laser, IPG Photonics, YLPP-1-150V-30 and target of Sn/In alloy resulted in 30 W average power, brightness of 50 W/ mm2 sr, 0.5 % CE and no debris.

Stephen F. Horne, Energetiq Technology, Inc.

The cause of the instability in sources has been identified as potentially due to unipolar arc. The results imply that we should investigate whether there is some simple mixture of Xe/He that we could provide to customers, if they wish to improve the pulse-to-pulse stability of their EQ-10 beyond its current performance.

Hiroshi Kawata, KEK

Present planning is for a full version to demonstrate FEL power and performance of the SRF accelerator. It is possible to obtain 6.6 to 1 nm wavelength from EUV-FEL by just increasing the accelerator energy to ~1131 MeV from 800 MeV. It is necessary to examine whether the acceptance of the wavelength on the multilayer reflectivity curve at the 6.X nm node is wide enough to accept the whole FEL light (Dl/l = 6 x 10-3).

Jom Luiten, Eindhoven University of Technology

Proposed laser-cooled electron source for Inverse Compton Scattering based EUV generation. Coherent amplification is achieved by micro-bunching, resulting in a source which is narrowband and can be easily tunable over the entire EUV range, with full spatial and temporal coherence down to H2O window.

Alexander Molodozhentsev, ELI-BL

Femtosecond laser-driven free-electron laser opens the way to the fifth generation of FEL, which is under development in ELI-beamlines in collaboration with the University of Hamburg and DESY (CFEL). We are developing a tunable tool for applications and are eager to hear from the EUV community in order to play with the right knobs to develop a tailored FEL for lithography applications.

Oscar Versolato, ARCNL

Update on fundamental study of LPP via study of transitions and charge state, with a goal to increase CE for Sn LPP.

Ronnie Hoekstra, ARCNL

Overview of tin ion interactions program. Charge exchange in H2buffer gas determines ionic charge state distributions.

R Schupp, ARCNL

For short-pulses and small droplets, radiative efficiency is well described by simple geometric plasma expansion mode. State of the art opacity calculations presented. Emission spectra from Nd:YAG LPPs well approximated by calculations for single density, single temperature plasma.

Dunne, University College Dublin

To get below 3nm, there are two options: Δn = 0 (4-4 & 5-5) transitions in high-Z or Δn = 1 (3-4) transitions in medium-Z. Results of strontium EUV spectroscopy and influence of pulse shape and energy on plasma properties were presented.

Dmitry Astakhov, RnD- ISAN

We have developed 3D transient model that couples energy and momentum input from tin plasma to the flow in the EUV source chamber. The model can be used to optimize the chamber geometry, flow structure, etc., for regime during source operation.

The 2019 Source Workshop will be organized in cooperation with ARCNL in Amsterdam. For proceedings of the 2018 Source Workshop and other workshop information, please visit www.euvlitho.com.

What You Can Learn about Blue-X and EUV Sources in Prague During the 2018 Source Workshop

By Vivek Bakshi, EUV Litho, Inc.

The 2018 Source Workshop is right around the corner in Prague (November 5-7, 2018, HiLASE, Prague, Czech Republic). This year, the new exciting topic is the start of discussions on options for extending EUVL via wavelength reduction – something that I have named Blue-X. Reduction of wavelength is one option for continued extension of optical projection lithography, and we are commencing our discussion on shorter than 13.5 nm wavelength sources and optics that will enable this technology in the near future. We will have nine papers on this topic. There are options to be considered for high-Z elements that can enable a given source at a wavelength less than 13.5 nm. I will make a case for wavelength reduction to not only improve resolution, but also reduce NA to decrease cost, and possibly reduce power requirements. Hans Hertz from KTH will talk about how water window sources may be scaled up for something more than microscopy. There will be a paper by optiXfab on ML that could effectively bring those photons to wafers. Also, a paper from LLNL will discuss lasers that may power these shorter wavelength sources.

In addition, we will have updates and new data on fundamentals of EUV sources for scanner, as well as metrology tools to enable EUVL in high volume manufacturing. As this year’s workshop is co-organized with HiLASE, we will also conduct a special session on lasers that drive. We will cover lasers that drive EUV scanners and metrology tools, and one day may drive free-electron laser (FEL) based sources. I am looking forward to your joining us in finding out the latest on EUV and shorter wavelength sources. A detailed agenda is available on our website at www.euvlitho.com.

2018 EUVL Workshop Update

By Vivek Bakshi, EUV Litho, Inc.

The 2018 EUVL Workshop was held last June at CXRO, LBL in Berkeley, jointly organized by EUV Litho, Inc. and CXRO. In this blog I will give highlights, notable mentions and a brief summary of my opinion on the current and future challenges of implementing EUVL in high volume manufacturing (HVM).

Highlights

Keynote talks on manufacturing were given by Intel, ASML and GlobalFoundries. Intel gave an update on the performance of the NXE3400 machine, which is the production level scanner in fabs today. ASML gave the latest in-house performance data for scanners, plus roadmaps.

NXE3400 is showing a clear improvement in terms of uptime (now 80%), cleanliness (particle adders) and power. Pellicles are almost ready to support HVM in terms of defectivity, transmission and ability to handle the power load. I was surprised to see the AIMS tool still as a yellow item, together with pellicles, in Intel’s Infrastructure Readiness list. The APMI tool is the only red item now. The main source for less than optimal availability is still from EUV source components, and improvement in availability is coming from improvement in collector lifetime (0. 25% loss over gigapulses) and droplet generator lifetime.

HVM insertion for Intel is tied to predictability, which will continue to improve with availability of scanners. Just 1% reduction in availability is like losing 4% in power. Materials are now ready for EUVL introduction, but future work on the materials is needed. The challenges are more than photon shot noise; as Intel said, “We must gain a deeper understanding of how EUV radiation interacts with resist and design resist for stochastics. Next generation EUV requires materials innovation.”

In addition to improvement in the NXE3400 scanner at customer sites, there is also progress in-house on scanner development at ASML. Uptime is expected to improve to the desired 90% this year. 140 wafers per hour (WPH) throughput has been achieved using 246 W source at 20 mJ dose. 10x improvement in defectivity (defects added to masks) is noted at ASML but needs to be repeated in fabs.  410 W source power was demonstrated at 50 kHz, which brings in sight the desired 500 W for the next node. Three NXE3400B scanners have shipped so far this year, with plans to ship a total of 20 scanners by year’s end, although I would be happy to see 15 shipped for the year. Last year, 10 scanners were shipped. ASML also has received four orders from three customers for high NA scanners.

Additional Notable Highlights

  • Jorge Rocca of Colorado State in his keynote talk presented an overview of his capillary discharge EUV lasers that can be used for EUVL metrology development.
  • Ladislav Pine of Rigaku and CTU showed development results of similar technology at 46.9 nm at CTU to support next- generation metrology.
  • Intel reported manufacturing of several zero defect-free mask blanks.
  • Veeco is continuing to improve their deposition machines to meet next-generation mask blank requirements. They believe that ion-beam etch is a viable option for future absorber materials.
  • AMAT is the new second supplier for mask blank deposition tools. With a different deposition technology, they are ramping up their efforts quickly. They showed significant improvement in substrate quality for mask blanks in one year, in addition to improving mask blank deposition technology in general. I look forward to their mask blank reflectivity and defect numbers.
  • Marcus Benk of CXRO showed the latest data from high NA SHARP, which is up and serving customers.
  • Tim FühnerofSynopsysobserved that an undercut absorber side wall angle (SWA) improves image log-slope (ILS) while maintaining reasonable depth of focus and exposure latitude.
  • Vincent Wiauxof IMECreportedfirst NA 0.55 experimental bright field-shift measurement using an anamorphic SHARP imaging system anda dedicated maskto validate the simulations.
  • Christina Porter of the University of Colorado showed the latest results on mask inspection using high harmonic generation (HHG), which is also commercially available form KM Labs.
  • New ideas on diffraction optics for mask inspection and out-of-band (OOB) reduction for source collectors were presented by Ken Johnson of K J Innovation.
  • Sascha Migura of Zeiss gave an overview of Zeiss’ progress in optics to get the latest EUVL scanner ready, as well as his continuing work on high NA scanner optics.
  • Yasin Ekinchi of PSI provided a good overview of what we need to understand, and what experiments are needed to understand how EUV resists work.
  • Castellanos of ARCNL pointed to the need of a Roadmap of photoresist performance that includes defectivity. She also gave couple of ideas on disruptive designs that are needed in coming years to fight stochastics and the resolution, sensitivity and LER trade-off. Anisotropy, separating absorption and electron-induced chemistry and by narrowing electron energy distribution may help us in this area.
  • Oleg Kostko of LBL outlined various methods needed to study the EUV resist development process. Step 1- photoionization and step 2 – electronic relaxation can be studied via photoelectron spectroscopy. Meanwhile, Step 3 of atomic relaxation andstep 4 of inelastic scattering can be studied via mass spectrometry.
  • JSR haspartnered with IMEC to enablemanufacturing and quality control of EUV lithography materials for the semiconductor industry.
  • Popescuofthe University of Birminghamshowed thatby optimizing the multi-trigger ratio (MTR)for EUV resist from Irresistible Materials, one can significantly reduce line edge roughness (LER).
  • D. Hinsberg of Columbia Hill Technical Consulting (co-author S. Meyers from Impria)showed a simple model of MOx resist to establish a quantitative link between photochemistry and imaging.
  • Puneet Guptaof UCLAmentioned that LER requirements can be harsh for EUV (< 3 nm for single patterning and < 2 nm for multiple patterning),but some relaxation is possible by smarter optimization of design rules, such as using larger spacing than width.
  • MET5 is almost ready at CXRO to serve end-users working on high NA EUVL development, after aligning optics using interferrometry.
  • Hakaru Mizoguchi showed progress on his Pilot #1, high power source for supporting scanners. High conversion efficiency of 5% is realized with their pre-pulse technology.High speed (> 90m/s) and small (20 micron) droplets are now realized.250 W in-burst power at 50% duty (125 W average) for several minutes were achieved. 113 W at 50 % duty cycle and 85 W average was achieved for 143 hours.

 

Summary

We had the highest attendance this year for the Workshop, crossing the 100 mark. I believe it was due to increased interest in EUVL coming from recent progress, and planned insertion of EUVL this year into HVM.

The main challenge to inserting EUV into HVM today is scanner availability. It is 80% now and 90% is desired. So it not quite ready for Intel yet, but enough for Samsung to start this year (as per press reports). I believe TSMC, Intel and GlobalFoundries will start using EUVL in HVM in coming years. In terms of infrastructure, APMI is the only tool that is not ready, but as two major suppliers now are working on it heavily, I expect it to be ready in a couple of years. Materials challenges, are high – especially with resists, as we still have a lot to learn on how EUV resists work. We also must develop new materials and manufacturing methods to address stochastics. I believe a consortium that can bring together collaborative teams with access to instrumentation is needed and can be located at national labs like LBL.

The summary slides of presentations and proceedings can be downloaded at www.euvlitho.com.

Economics of EUVL: Understanding Commercial Opportunities and Financial Impact

By Vivek Bakshi, EUV Litho, Inc.

The technology of EUVL, coupled with its economic impact, are indeed complex and evolving. On the eve of EUVL’s deployment in high-volume manufacturing, we need to understand both technology and economics. Moore’s Law puts an additional time constrain on readiness for a manufacturing node, which a technology must meet. Ultimately it is the economics that will decide EUVL’s long-term future, but it is very much coupled with technology and how it will evolve for future nodes. Here, I will describe these aspects briefly to give you an overview of what has been called an “epic challenge for advanced semiconductor manufacturing.”

EUVL Technology

To understand EUVL technology, one needs to know about not only scanner, but also about light sources, optics, contamination, materials, mask metrology and resist. All of these components of EUVL are different than those for 193 immersion multipatterning (193i MP) – although both are part of optical projection lithography. In terms of technical issues, which can slow down implementation at the 7 nm node, the only open issue now is scanner uptime. Higher tool uptime (goal of 90%) will improve throughput and hence cost of ownership. But there is lot more to be done at future nodes. In order to understand future challenges for source, mask, resist and patterning and manufacturing, it is not only important to understand each component itself but also how each component affects the others in the overall manufacturing flow. Some new knowledge is required here that we can gain from a new text, EUV Lithography (2nd edition, SPIE Press, February 2018), that I have edited for SPIE press, with contributions from technology leaders. In this second edition of the book, I would like to highlight some new chapters containing key technical knowledge. These include chapters on optics by Carl Zeiss, maker of optics for the EUVL scanner; on EUVL scanner by ASML; and several chapters on EUV sources. Chapters on mask, resist and patterning will also give you the necessary knowledge to understand the technology.

Economics of EUVL

For many of us, when we want to know how quickly and how widely EUVL will be implemented, we really are asking how this new technology is going to impact the present infrastructure of 193i MP. To understand this, we need to know when chipmakers will use EUVL and how they will use it in a given type of product. Logic will be probably the first, followed by memory. Contacts and vias will be first followed by metal lines and then gates. Volume of adoption will depend on market timings as well as perceived readiness of a chipmaker by their internal or external customers. Leaders in EUVL are GlobalFoundries, Intel, TSMC and Samsung, with a few others very keenly interested. However, some leaders will sacrifice their bottom-line to be first to capture the high-end business and get ahead on the learning curve to improve yield. It is a good bet, in my opinion.

Another big question is that how adoption of EUVL will affect the number of additional tools needed for etch, deposition and metrology, which support 193i MP. Of course, we will need fewer of them, as EUVL slowly replaces it. But how much and how quickly is a more complex topic. Questions to ponder include: How significant are the challenges for EUVL in the future? Will they slow down the timing and magnitude of EUVL’s implementation in 7 nm and future nodes? Finally, a group of companies and innovators want to know if their technology, products and ideas have a place in the EUVL infrastructure and if so, how big is the market. These are deep questions and require a lot of technical and economic information to form an educated opinion.

Let us discuss this epic technical and economic challenge.

Together with my colleagues, I plan to spend an entire day going over all these topics at the EUVL short course during the 2018 EUVL Workshop. A day is not really enough, but I expect it to be a fruitful endeavor. For most of the day, we will use new EUVL edition as the textbook for the short course to get the latest on fundamentals. I will then wrap up with a discussion of economic aspects. If these topics are of interest to you, I hope you will join us. Please visit www.euvlitho.com for further details.

What you need to know about EUVL – Learn in one place during 2018 EUVL Workshop

By Vivek Bakshi, EUV Litho, Inc.

There is lot to keep up with about EUVL, whether it’s details about its insertion in HVM, or readiness for next-generation EUVL to continue extending Moore’s Law. Following are the key topics to look for and what you can expect to hear about them in the upcoming EUVL Workshop, June 11-14, Berkeley, CA.

Latest on EUVL readiness

At this point, the industry’s focus is on availability data for the scanner, as EUVL is being inserted into HVM. You can expect to get the latest data on scanner uptime from Britt Turkot of Intel in her keynote talk. Harry Levinson in GlobalFoundries in his keynote will give a pragmatic view of status and challenges on EUVL, while Anthony Yen of ASML will provide the latest status of EUVL technology from ASML’s perspective.

Brand-new proposal for EUV Resist Technology – touted as a potential game changer by the inventor

I am also very excited about the keynote from Prof. Tagawa-san. Four years ago, he proposed the PASCAR approach to get more patterning per EUV photons, via increasing EUV resist’s sensitivity using his unique proposal. This process is now in development by TEL and IMEC. Now he has come up with another new idea to help EUVL address stochastics. He describes his newest proposal as a “very new idea of the solution of both very high resist sensitization and overcoming stochastics problems of EUV lithography patterning, such as micro-bridges or line-breaks of lines and spaces (missing or kissing contact holes). This new idea comes from the very different viewpoints of the current lithographic way of dealing with stochastics problems. It changes EUV lithography dramatically like [a] game changer. This topic is very interesting for industries.”

Lot more new things about EUVL

AMAT has now entered the EUV mask blank business. They will have two presentations: one about their new mask blank deposition technology, and another on substrates. AMAT is a heavyweight and their entrance into EUV mask blank is expected to be a positive news for EUVL mask blank technology. I look forward to finding about the progress of their program. Veeco, the maker of the current mask blank deposition tool, also will be there to talk about the current status of technology and their plans to further improve their tool.

We will hear from leading physicists from LANL on their ideas for increasing conversion efficiency (CE) of Sn LPP source. With their high-power computation facility, codes and plasma physics knowledge that no one can easily match, I look forward to their insights for our industry. By increasing CE, we can get more EUV photons for the same input power – I see increased CE as a must for EUVL sources.

EUV resist has needed an objective assessment of progress and a roadmap that tracks progress about various new approaches. The ITRS roadmap went away several years ago, and this year we will have a new roadmap from ARNCL for EUV resists.

In other notable papers, we will hear the latest on multi-beam e-beam writers from the leader in this area, progress on HHG sources that are enabling stopgap patterned mask inspection. We also will hear updates from Zeiss on EUV optics; from Gigaphoton on high power EUV sources to support scanners; from JSR on EUV resists; and a new model for metal oxide EUV resists; and lot more. Also, Prof. Rocca will deliver a keynote talk on his EUV light sources that can enable next generation inspection technologies. You can review the entire agenda at www.euvlitho.com.

Finally, there is a new textbook on EUVL this year from SPIE Press, with lots of new data on this exciting new technology from leaders in the industry. This year in the EUVL short course held on Monday at the workshop, we will cover material from this book as well as talk about new opportunities in EUVL. I’ll write more about that in my next blog.

I look forward to seeing you at the workshop and helping you find out the latest about EUVL.

Black Swans Unmasked and Other Stories from My Days in San Jose – Further Thoughts on 2018 SPIE AL EUVL Conference

By Vivek Bakshi, EUV Litho, Inc.

Black Swans Unmasked, Silver Bullets for Stochastic, Opinions vs. Facts, Vimalkirti Sutra, Moore’s Law -an Eternal Dharma? Bollywood saves Moore’s Law, Making a Buck or Making a Difference – my Days in San Jose, CA.

Black Swans Unmasked

Stochastics effects, which are random and local variables, were in focus during the EUVL Conference this year. These effects have been around in manufacturing for a while, causing CD variation and line edge roughness (LER). They are now causing microbridging and broken lines as features are getting increasingly smaller at the 5 nm node, with fewer photons available per EUV dose compared to an equivalent dose of 193 nm photons. Last year, these random defects were called “black swans,” a name inspired by a book by Nassim Taleb (Random House, 2010). In his book, Nassim describes black swans as surprising or virtually unpredictable event that can have a massive impact. This year, several presentations quantified them as defects in the far tail of a Gaussian distribution, where the distribution is no longer Gaussian and we do not yet have a precise way of describing them. Although with very small probability at 7 sigma, they still add up due to billions of circuit elements that must be made perfectly in each chip, and in the end they can cause yield loss. At 7 sigma or beyond, I see them something like a seventh cousin of common white swans. We still do not know fully how to capture them, but they are not those formidable black swans either.

Other important thing we have now learned is that there is not only one type of black swan, but several kinds. They come not only from photon shot noise, as we believed earlier, but also from all steps in photoresist development, masks and optics. Because of stochastics we must do some things a bit differently, although we can still make circuits. As I mentioned earlier, we had been seeing stochastic effects previously in LER and CD variability. First, the fact that more than photon shot noise is in play here is evident in the long, asymptotic tail of the RLS triangle curve, where we notice that LER cannot be eliminated by merely increasing dose (i.e., number of photons). That gives the first hint of other factors. Hence, a claim by an esteemed lithographer during Q&A that the only way for us to address stochastics will be to get 1 kW sources, which isn’t going to happen (and hence neither will EUVL), is incorrect.

There were several papers in the Conference looking at various sources of stochastics – optics, mask, photoresist – with each effect adding its own contribution. Each may have several components, e.g., photoresist stochastics comes from not only from photon noise, but also from variation in the density of absorbed photons, secondary electrons, photo-acid generators, quencher and local protection groups. One speaker pointed out that stochastics coming from materials are greater than those from photons. So the focus now shifts to heterogeneity in materials and processes. In the final circuits produced via EUVL, I suspect a couple of additional steps that will contribute to random effects, such as etch and metrology. The etching process is random and so is metrology, but in different ways than photoresist.

Silver Bullets for Stochastics

So how are we going to address stochastics? The good news is that people in the chip industry are very smart and I believe they are up to the challenge.

I see several ways to address stochastics. First is that if you cannot solve a problem you can reframe it, while keeping the goal in mind. As I pointed out last year, our fundamental problem is not the random noise in imaging, as that is just an intermediate step in making an electrical circuit in silicon, which is our final goal. Just to complete the argument, our ultimate goal is really not even electrical circuits, but improving information processing. So when we hit limits which we cannot overcome, we will go another route via new types of computers (for example, quantum computing is already happening). Going 3D has been another way for our industry to address the scaling challenge when 2D scaling gets too difficult. Another practical way to address stochastics will be post-processing and co-optimizing of processing steps. We have already gone way beyond Rayleigh resolution criterion, and we now just have a new limit from physics, so we must cleverly go around it.

So I see these ways to address stochastics:

  • First, reframe the problem. An example of reframing for the photoresist development process is multi-trigger resist from new start-up Irresistible Materials. Previously, several related efforts were put in the category of “sensitizers,” with PSCAR being the most well-known, to go around the dose limitations. In short, we must design new types of photoresists or find a way to change some of the steps, and I see several possibilities of doing so. In terms of reframing the challenges of photon noise, there are at least a half-dozen ways to increase dose on wafer without increasing the input power to EUV sources.
  • Second: control stochastics using its fundamental nature, which is randomness. For example, in space missions this is done by building redundancy – four computers instead of one. We also know up to a certain limit how to build this into circuits. So, one can take averages of several steps instead of depending on a single processing step. A good example of this is “vote taking lithography,” which not only eliminates most random mask defects but also decreases the non- uniformity of CDs. This concept of “averaging” can be extended to other steps in a clever way. Thus, this concept of taking averages by breaking a single step into multiple steps can have implications in addressing the randomness of processing steps. I expect to see some creative ways this may be applied.
  • Third: get back to basics. Remember that we may not be solving some these problems for the first time, and they may have been addressed before in different contexts. We need first to better understand the nature of each of these sources of stochastics. Distributions for each factor are different, and we need to find new knobs that we can turn. As we learned in the case of EUV sources, we could not increase conversion efficiency by merely using the design of experiments (DOE) concept, which allows us an optimum setting for known knobs. We had to create new knobs by looking very very carefully at every step,and changing the process to create controls where we had none before. From papers and discussions, it appears we have our work cut out for us, especially for photoresist.

Opinion vs Fact

At the start of the EUVL conference, the first plenary speaker, a retired lithographer, pointed to the presence of defectivity at the 5 nm node and extrapolated to conclude that “EUVL will not work in production in a meaningful way.” However, the speaker was wise enough not to tout another tried and rejected next generation lithography (NGL) options, but instead proposed abandoning current optical project lithography-based computer architecture all together. Quoting Daniel Patrick Moynihan, “You are entitled to your opinion. But you are not entitled to your own facts.” The presenter used data from several presentations to make that conclusion, while the authors of those presentations had different conclusions. However, the press was quick with headlines (“Random Errors Cloud Chip Roadmap”), and a few others followed with reports that a new showstopper for EUVL had been found. There were at least a dozen or more papers on analysis and solutions for stochastics, but they received no coverage in the media, as good news is no news many times.

Vimalkirti Sutra – Dharma of Scaling

Last fall, with my Zen teacher we studied a scripture called Vimalkirti Sutra. It is a dialogue with a unique Bodhisattva, who chose to remain in business and deal with worldly affairs. The original Sanskrit scripture is lost, and only a surviving Chinese version is widely studied. Therein was a powerful lesson on the intention of why we do what we do.

In this lesson, Buddha, together with hundreds of his followers, come to see Vimalkirti to discuss the WAY. Vimalkirti, who is in a small room, offers everyone a place to sit -something similar to scaling challenges we are seeing in Lithography. However, many loudly argue, how is there enough space to sit, even though it is being offered? So Vimalkirti famously replies, “Did you come here for the sake of Dharma, or are you just looking for a place to sit?” (The Vimalkirti Sutra, Burton Watson, pp. 75, Columbia University Press, 2010.) In the end, everyone is happily accommodated.

Most experts have their own agenda to back up their own opinions. Solutions are there, but we must abandon our conventional ways of thinking and reframe the problem differently. We need to find a way to address our true goal of scaling, and not get too attached to how it is done.

Moore’s Law as an Eternal Dharma? If you believe Dharma is broken – you do not understand it.

In the chip business, you are not famous until you have at least once in your career formally declared the death of Moore’s Law. Some have done it multiple times to claim the most fame. However, quoting Mark Twain, “The reports of my death have been greatly exaggerated.” The SPIE AL Conference is known for its famous declarations. At SPIE AL in 2014, there was a public declaration of the death of Moore’s Law, but Moore’s Law continues. One of the plenary speakers noted that senior experts close to retirement are getting low on new ideas, and it may be time to turn things over to a new generation with fresh ideas. I agree. Just as in the Judeo-Christian bible’s Book of Job, where the young newcomer Elihu offers an alternate explanation of the situation, new ideas may indeed be needed. For myself, I am among those outsiders who look at Moore’s Law as Dharma or the Eternal Way. If you think it is broken, that means you do not understand it. Moore’s Law refers only to hardware, while all information process technology depends also on software and interfaces. We humans try to process more and more information, until our Internet is mostly flooded by iPhone videos, movies and shows, and other trivial things. For those who are into philosophy, especially nuggets from the Upanishads, know that there is no end to the continued increase in information processing – until the end, when all that is knowable is simultaneously known. As you inch toward the ultimate, you do not increase your knowledge, but gain wisdom – sort of like getting a smarter hard drive, and not just a bigger one. AI and machine learning are small steps in that direction.

Bollywood Saves the Moore’s Law

Yes, it is a true story although now a year or so old, but I wanted to give credit where it is due. It started when recently we realized that EUVL would have to go the way of multiple patterning very soon, unless the numerical aperture of scanner was increased. This brought forth very difficult choices in terms of mask, optics and throughput. The industry argued for many years on choices, and the final decision was to address the issue using anamorphic optics (squeeze the image 2x one way and 4x the other way), as has been done in cinema industry for a long time to address the scaling of a roll of film. So Moore’s Law will be extended using high NA EUVL scanner, by borrowing the idea of optical scaling from Bollywood. (I choose to give credit to Bollywood and not Hollywood, as several industry leaders like the CEOs of Google and Microsoft grew up on Bollywood and not Hollywood.)

Making a buck or making a difference –   thoughts on my days in San Jose

My visit to the Conference was shadowed by sadness over the death of a litho colleague in Austin earlier in the month, who passed away from an unknown cause. Many of my litho colleagues from SEMATECH’s time met in Austin for a memorial service, and several of us from Austin, still in mourning, asked each other during SPIE if we knew what could have happened to Jeff. On my flight back to Austin, I got some closure on this as I was watching the movie “Bladerunner” when Graff says, “Sorry that she won’t live, but then again, who does?” This pointed to the ethereal nature of life. Maybe we take things too seriously and do not realize our nothingness, as Roy Batty in the same movie says, “I’ve seen things you people wouldn’t believe. Attack ships on fire off the shoulder of Orion. I watched C-beams glitter in the dark near the Tannhauser gate. All those moments will be lost in time, like tears in rain.”  

While in San Jose, I ate breakfast most days at Starbucks by the Fairmont. Since most of the tables there were occupied by homeless people, I had to ask them to make a place for me so I could sit down for a bite. By the time I was done with breakfast every day, I had to ask myself if I was just making a buck, or making a difference. What is the true impact of all this progress if we cannot even find a shelter for all? I find the situation best explained by a quote from Lao-Tzu:

Virtue Appears when Way (Tao) is lost

Kindness appears when virtue is lost

Justice appears when kindness is lost

Rituals appear when justice is lost

Rituals marks the waning of belief

and the onset of confusion.

(Lao-Tzu’s Tao Ching, Translated by Red Pine, pp. 76 Copper Canyon Press, 2012)

What we do is just part of big web, and maybe inconsequential as Roy Batty says. We have a job to do and most of us do our very best work; many of us try to do it knowing we are still tied to its impact on the world. Maybe this advice from the Bhagavad Gita is worth pondering:

Karmanyevadhikaraste ma phaleshu kadachan

ma karmaphalahetur bhur ma te sango’ stv akarmani.

Translation: “Your right is to action alone, never to its fruit at any time. Never should the fruits of action be your motive, never let there be attachment to inaction in you.”(Bhagvad Gita, 2.47, Translated by Winthrop Sargeant, State University of New York Press, 2009)

2018 SPIE Advanced Lithography – EUVL Conference Update

By Vivek Bakshi, EUV Litho, Inc.

The 2018 SPIE Advanced Lithography meeting was held from February 25 to March 1, 2018 in San Jose, CA. As in the previous year, I will first give a high level update and then a summary of the status of EUVL, pointing out notable updates, list most interesting papers from this year and new additions to the current list of EUVL challenges.

An additional commentary on SPIE AL meeting will follow next week, giving my opinion on a couple of topics. In a separate blog, I will publish an updated list of technical challenges at all nodes, as this blog already is getting a bit too long.

  1. High Level Updates

 

  • Source power is at 250 W, with industrial version of source at a new record of 140 WPH (without pellicle). Scanner availability is still at 65%, with plans to ramp that up to 75% this year and 90% in 2018-19-time frame. 245 W pellicles are confirmed, so pellicle is no longer a red-flag item.
  • The APMI tool is still missing and is very much needed by 5 nm node. I heard several rumors of suppliers working on this tool now.
  • An AIMS tool from Zeiss is now operational in field. Two additional AIMS tools will be shipped this year, and now there is talk about high NA AIMS tool development.
  • High NA scanner development is coming along fine, and a high NA scanner is expected to be ready after 2024.
  • 55 MET is up and had its first light last week at CXRO, so development work on 0.55 NA EUVL can now begin!
  • There was no significant update on source or optics this year. Going by the track record, I expect Zeiss to have the high NA optics ready on time or maybe even sooner. Sources eventually will get to 500 W, but work remains to increase its uptime as that is main reason for scanner downtime. Like before, this is internal engineering which will be done with time, and I expect uptime to increase slowly to deliver 90% uptime for scanner.
  • Work has begun on identifying sources of contributions to stochastics (random noise) that come from not only photon shot noise but also from mask, resist and optics. Each one is being independently addressed and there were at least dozen papers on this topic. Several papers showing progress on post-processing techniques to reduce LER were noted.
  • Lots of work is taking place on new resist chemistries, and there were more Conference papers on resist than on any other topic. Inpria has the best non-CAR resist. Work is taking on several fronts to get resist ready for 5 nm nodes. In terms of new chemistries, we noted multi-trigger resist from Irresistible Materials, a startup from UK. One way to improve resist is via sensitizers without increasing the dose. The leader in this approach is PSCAR, and now TEL and IMEC have joined the development. This gives me more confidence that this technique may get commercialized sooner than later. There were lots of papers on fundamentals to figure out how best to deal with resist stochastics, but I do not quite see a clear strategy yet. Resist will remain a hot topic in coming years.
  • There were no updates from Intel, TSMC or Samsung – which I take to mean that they are getting into the “blackout” period for competitive reasons. Most papers were from IMEC, Global Foundries and IBM. IMEC and their collaborators are now leading development efforts to get EUVL ready.
  • There was book signing during 2018 SPIE Advanced Lithography for the brand-new text from SPIE Press on EUV Lithography! I have edited this new text with contributions from technology leaders in the industry. You can get a copy from the SPIE press: https://spie.org/Publications/Book/2305674.

Congratulations to all contributors!

  1. Current EUVL Status

Source: 245 W has been integrated in the scanner for a 140 WPH throughput. 250 W will allow 150 WPH throughput. Cymer has been able to convert a 250 W prototype source to an industrial version in a year, with three-day continuous run demonstrated. There was no separate update for Cymer’s sources this year in oral sessions.

Scanners: NXE3400 has achieved 140 WPH using 246 W source and no pellicle. Run was conducted for full field (96 fields) with 20 mJ resist. With pellicle, the same setup can produce >100 WPH. It was noted that in 2014 throughput was only 10 WPH, and now it is 140.

CDU for this test was 0.5 nm and overlay of 1.9 nm. Otherwise, CDU is 0.3 nm, 13 nm dense and 16 nm isolated lines, with < 6nm focus stability. Machine to machine overlay (MMO) is 1.5 nm. This can satisfy requirements for the 5 nm node.

Current uptime is 65%, with planned upgrades to increase it to 75% in 2018 (Uptime data was shown in an uncalibrated Y-axis plot, so this is my best guess). Plan is to have 90% uptime required for HVM in the 2018-19-time frame. So, it seems like this area still needs attention to get EUVL ready for HVM.

Ten scanners were shipped in 2017, and 20 are planned for 2018. Model NXE3400C planned by 2020 with 155 WPH throughput.

High NA scanner: High NA switch to 0.55 from 0.33 will enable two nodes’ worth of shrink in features. High NA offers improvement in CDU, higher effective throughput (up to 185 WPH), 40% smaller features with the same exposure latitude, but with much smaller depth of focus. Increase in throughput is obtained via an increase in mask and wafer stages. Although the high NA tool will deliver 2x to 3x power, the power density at the pellicle will remain the same, due to an increase in slit size. The tool also will have wafer cooling. Higher NA tool also has a smaller mask 3-D effect due to a smaller angle of incidence. 3D effects in tool will be addressed via sub-resolution assist features (SRAF), source mask optimization (SMO) and high-k absorber. As high NA will require stitching of two patterns, something of concern to some, it was demonstrated successfully for 24 nm dense contact holes on NXE:3300. The area stitched was black border.

The high NA tool will need 2x improvement in wave front measurement accuracy, and 2x larger mirrors. The size of high NA optics and associated metrology has resulted in a need to build new fabrication facilities at Zeiss with much higher ceilings. High NA fabs also will need higher ceilings. In summary, the feasibility phase is over for high NA EUVL scanners, and the construction phase has begun.

Insertion in HVM: Noted by several speakers and reported in press over last several months- TSMC will have node N7+ in 2019 and N5 in 2020. Samsung will have EUV in HVM first (late 2018 or early 2019 according to press reports). GlobalFoundries and Intel are expected to have ~2019 start.

Masks: Mask defectivity is currently acceptable for 7 nm node insertion. No update was provided on mask blank defectivity, as the focus has moved to defect avoidance and repair.

Mask Pellicles and Scanner Cleanliness: Mask pellicles are needed due to particles falling on mask during manufacturing, but cleanliness has improved (Initial insertion for foundry logic will use EUVL for via and contacts only, and they can use it without pellicles due to small pattern density).

Scanner cleanliness has improved to 6 particles per 10 K wafer swaps from 50 particles per 10 K wafer last year. This was achieved via hydrogen curtain, flushing, and POD parts cleanliness. The goal of one particle per 10K wafers is possible in the near future. It will be nice not to have to use pellicles.

Pellicles can now take 245 W sources. Offline tests for 300 W for >10 K wafers have been confirmed and tests for >400 W sources are planned. Current transmission of pellicle is 83% with a target of 90%. Number of defects on pellicles is zero for particles > 10 microns (good to note that it was 400 in 2017 – so great progress).

Actinic Mask Defect Inspection: AIMS tool has been delivered and this year it is working in field just fine. Two new AIMS will be delivered in 2018. New results were revealed from Lasertech on their blank inspection tool. No news on APMI tools yet, except rumors on some new tools coming soon.

Optics: Optics for 0.33 NA has been ready for many years and construction is in progress for chambers for high NA tools at Zeiss. Metrology tools for high NA are being assembled. There has been clear progress since 2017.

Resist: Latest performance results at PSI show 12 nm resolution (half pitch) at 55 mJ doe using Inpria resist and CAR with 3.4 nm and 5.7 nm respective LWR. Inpria resist can resolve up to 10 nm HP at 70 mJ dose with high LER. See Notable Updates below for additional comments on this topic. 

  1. Notable Updates
  • Jan van Schoot and E.S. Rodenik of ASML gave an extensive update on high NA tool development and NXE 3400, respectively. A summary of results is captured above in Current Status of EUVL.
  • Yan Borodovsky (ex-Intel) in his plenary talk pointed out that edge placement error (EPE) correction tapeout tooling needs to be included in tracking EUV infrastructure readiness. He discussed the presence of stochastics, which he thinks may be not be addressed at 5 nm. He thinks that industry will go to 1 D for EUV for k1 value of <0.4. (Additional comments on this will appear in my next blog.)
  • Dan Hutchinson (VLSI Research) in his plenary talk portrayed a more pragmatic view of manufacturing. More on this later.
  • Stephen Hsu (ASML) in his plenary talk pointed out the need for RET for EPE control in EUV, and recommended machine learning for RET. He believes that High NA and RET will enable sub- 5 nm nodes.
  • George Gomba (GlobalFoundries) provided a comprehensive status of EUVL readiness. I liked the updated cost of ownership and cycle time benefit for EUV vs. 193iDP. He reminded us that OPC is also required for EUV, but much less than 193i. Additional new points were loss of contrast at high NA from polarization effects. Resist and through pellicle actinic patterned mask inspection are the main challenges for 5 nm and beyond.
  • We noted a paper by Hidetami Yaegashi of TEL on post processing that resulted in “CD healing,” 30% reduction in dose and 58% reduction in CDU. He claimed to have “successfully divorced” pitch narrowing “kissing defects.”
  • Yannick Vesters of IMEC investigated adding of sanitizers – two types of metal salts, which results in reduction of dose and LWR. He noted surprising results in absorption due to addition of sensitizer. He believes that sensitizers are working via an increase in secondary electron generation and acid yield, and not via increased photon absorption. He also pointed out the need for tabulated cross-sections at 13.5 nm.
  • Vesters also showed patterning results from new multi-trigger resist from Irresistible Material, a new startup from UK. Performance with 38.5 mJ resist for 16 nm HP had 3.7 nm LER. This resist has higher etch resistance than CAR, which may allow one to use thinner resists. Work is in progress to further improve this resist.
  • Seiji Nagahara of TEL showed continued development results on PASCAR, joint work with IMEC and JSR. For the process, contrast is now more than doubled and required dose is down from 50.5 mJ to 37.5, although EL and LWR remain the same.
  • Eric Mattson of UT Dallas revealed that EUV resists show negligible thermal reactivity compared to e-beam resists.
  • Michael Murphy of SUNY Polytechnic studied the decomposition of metal containing EUV photoresists, and noted via isotope labeling that radicals leave the films, but not ions.
  • Sonia Ortega of ARCNL studied how structure affects sensitivity. Work is in progress.
  • Eishi Shiobara of EIDEC studies outgassing of EUV resists – especially how hydrogen reacts with them. He noted that hydrogen forms metal hydrides in an H2 environment, which outgas and can end up on mirrors, although a very high dose of 300 mJ was needed to be able to observe any contamination.
  • Allen Gabor of Global Foundries reviewed why four sigma rules worked before (small across chip variation) and pointed out the need for ground rules based on seven sigma for EUV.
  • Yulu Chen of GlobalFoundries demonstrated aberration induced overlay errors. If aberration is different from tool to tool, then corrections may be tool specific and the same wafer may need to stay with the same scanner.
  • Luciana Meli of IBM has an interesting set of tricks to identify and reduce stochastics defects. Coating the resist with conformal inorganic film improves 30x detection of micro-bridge defects. She noted that LWR is not a good predicter of microbridges. Current on-line metrology does not catch yield lowering defects. She proposed CD control via optimized develop process. A wafer-based dose sensor was proposed, as contamination of EUV sensors can cause process unstability. This was an interesting idea. This is a pre-exposure test that can be used to identify end of lifetime for collector and > 1% dose deficit can be detected. This technique is not focus sensitive.
  • Danilo Simone of IMEC noted that lower sensitivity corresponds to lower nano failures and said we need new metrics for resist characterization to address stochastics, e.g., image analysis and power spectrum density analysis of resist roughness. Exposure latitude can be another knob to reduce failures.
  • Joost Bekaert of IMEC put vote taking lithography to practice (application for EUV was first proposed by GlobalFoundries last year). This can work as mask related defects are uncorrelated. He had multiple identical chips on the same mask, such that each chip saw an image from four quadrants of the same mask. This technique eliminated natural mask blank related defects, smaller defects but not the large killer defects. Contrary to what one may expect, overlay was improved and a 40% reduction in nano- bridges was observed. So this is definitely a new tool in the toolbox to address random defects via averaging, but of course comes with challenges for implementation.
  • Xuemei Chen of GLOBALFOUNDRIES noted that ML surface roughness of 50 pm is not a significant contributor to LWR, but mask absorber roughness is a major contributor to aerial LWR. This was a good point to note.
  • Alessandro Pret of KLA-Tencor had interesting work analyzing stochastic process variation bands for various nodes. I look forward to reading it more carefully to get all the details.
  • Victor Carballo of IMEC looked at single exposure (SE) of EUV for 32 nm node and noted that only via retargeting was there was a process window for the entire chip. SRAF increased DOF to 30 nm.
  • Weimin Gao of Synopsys touched on the important topic of 0.55 NA vs 0.33 DP for 3 nm node (metal pitch of 21 nm). He suggested 0.55 with high k absorber is the best choice for 3 nm node. This will become an important topic next year.
  • Linaghong Yin of Mentor asserted that tool specific verification may be needed due to the magnitude of EPE, but not everyone in the audience agreed.
  • Mark Kerkhof of ASML gave an overview of diffuser optics for NXE 3400. He pointed that EUVL scanners will be inserted at k1 of 0.4, and then extended to k1 0.3 before moving to double patterning or high NA.
  • Xun Xiang of GLOBALFOUNDRIES showed that pre-etch deposition can improve pattern fidelity.
  • Anuja Silva of IBM proposed Si spin-on hard mask, as lower resist thickness improves microbridges but increases line opens. She noted that higher selectivity is not always good for pattern transfer, as “good quality” films are hard to remove. So, post litho defectivity and hard mask open processes need to be co-optimized.
  • There were a couple of papers on EUV Double patterning (DP) that I need to review.
  • TNO now has a beam-line (based on Sn DPP source) that can mimic scanner conditions for optics and contamination testing.
  • Renzo Capelli of Zeiss presented results from the first AIMS tool now in field. It can be used to look at non-resist stochastics from optics, including pellicles. It allows equivalent to scanner dose emulation. He noted that transfer of mask roughness depends on imaging conditions. Two additional AIMS will ship in 2018.
  • Andreas Erdman of Fraunhofer pointed out that the best choice for reducing 3D effects in semi-dense contacts is RuTa film.
  • Lawrence Melvin of Synopsis looked at mask absorber side angle effect on patterning roughness. Angles of 95 to 100 can improve contrast, but these type of masks may not be manufacturable. These are interesting results in any case.
  • Patrick Naulleau of LBL listed several factors that affect resist stochastics (photon noise, acid generation, PAG, quencher) and explained why material effects can be larger than photon shot noise. 0.55 MET is up and had its first light.
  • Hakaru Mizoguchi of Gigaphoton Sn LPP source has 113 W at 75% duty cycle. Source now has 0.4% reflectivity loss per gigapulse, and demonstrated 43 hour operation time with 12 kW CO2 laser. His 2018 goals are 250 W, 0.2% loss per GP, >80% availability. It’s nice to see a second supplier of Sn LPP sources continue to make progress.
  • Henry Kapteyn of K M Lab’s HHG based EUV source provides 1-3 E10 photons @ 13.5nm. Sources are currently driven by 9 W lasers, which may be scaled to >28 W in the near future to give higher power. These sources provide another way to do mask inspection using CDI – an alternative to AIMS inspection.
  • Emily Gallagher of IMEC presented data on carbon nanotube-based pellicles. These pellicles can stop most 30 nm particles, have transmission of >90%, uniformity of 0.4% and EUV reflectivity of 0.04%.
  • Iacopo Mochi of PSI showed results of actinic mask inspection using CDI at high NA, which can now do inspection up to 35 nm HP features on masks. Improvement in detectors will allow us to reach 20 nm HP resolution.
  • Peter Schepper of Inpria described distribution of resist CDU at 5 sigma and found it to be Gaussian.
  • Peter Bisschop of IMEC pointed out that controlling stochastics is key to extending EUV. Photon, resists, mask and image quality effects CD quality. I need to review the paper for details.
  • Multi-trigger resist from Irresistable Material is similar to CAR, but is not a CAR. It can allow 14 nm HP resolution without quencher.
  1. New terms heard at SPIE AL
  • Kissing defects (nano-bridging of corners),
  • Line wiggle (something also previously proposed as a measure of combining LER and LWR to predict line-breaks).
  1. Most Interesting Papers 

A couple of papers looking deeper into understanding stochastics were notable in my opinion.

  • Allen Gabor of GLOBALFOUNDRIED, “EPE Fundamentals and impact of EUV: Will traditional ground-rule calculations work in the era of EUV?” (10583-5)
  • Joost Bekaert of IMEC, “EUV Vote-taking Lithography” (10583-14)
  • Renzo Capelli of Zeiss, “Evaluation of EUV Mask impact on LER” (10583-15)
  • Patrick Naulleau of LBL, “Impact of Shot Noise on EUV Patterning” (10583-39)

And for all those who care, the best reception that I attended was at Inpria. There was a full bar and my colleagues attested to the good quality of the beverages, while I enjoyed a couple of very nice “mocktails.”

  1. Additions to existing list of challenges for EUVL: Nothing new. Focus has been taken away from pellicles and sources for now, and the main challenge for 7 nm insertion is scanner uptime (same as before).

Latest on EUV Source Technology – Highlights from 2017 Source Workshop

By Vivek Bakshi, EUV Litho, Inc.

As we look forward to 2018 SPIE Advanced Lithography conference, it is good to review the current status and recent development for EUV source technology. In this blog, I present the latest status on EUV source technology from the 2017 Source Workshop, held last November at UCD in Dublin. Highlights, notable papers, list of open questions and summary of status are presented. There are lots of details that people in the source business will find valuable. I will share in my next blog the status and challenges of the entire EUVL technology.

Highlights

  • I thought that biggest lesson I learned was that resist sensitivity requirements continue to be a major driver of EUV source power requirements for scanners. Initially requirements were set at 5 mJ but today acceptable resists are at 30 mJ or more. 5 mJ to 30 mJ means 6x additional power, and no other factor can drive power requirements so drastically. Thus resist alone can be a leading factor in making a difference in whether the technology is for HVM level throughput. I believe that development of EUV resists that allow error-free printing with the least amount of EUV photons is now the leading challenge for EUVL. Unless we make good progress on this front, we will continue to depend mostly on EUV sources to address the throughput requirements.
  • Source power is now at 205 W for HVM level EUVL scanners. 250 W has been demonstrated with conversion efficiency (CE) of 6% using CO2 drive lasers at 21.5 kW (capable of 40 kW) at 50 kHz, with average 41% collector reflectivity. Enhanced isolation technology, advanced target formation technology and dose control enabled this power and collector lifetime. Droplet generator lifetime is now at 4 months. In-situ cleaning with hydrogen is proving successful in removing tin with almost no microparticles for 99.6% of targets. We are seeing <0.4% loss of reflectivity over giga pulses. We can thank 8 years of engineering and lots of hard work for this progress, as pointed out by Igor Fomenkov of Cymer in his keynote speech. Today we have 375 W in burst at 50 kHZ and 400 W has been demonstrated on research platforms. I should also point out that the current 125 wafers per hour (WPH) throughput includes a gain of 8 WPH via faster wafer swap.
  • There is interest in a new type of EUV source (broadband with wavelength less than 170 nm) that I believe will be the enabler of next-generation wafer inspection. Wafer inspection is currently being used for mask defect inspection, due to the lack of commercial patterned mask inspection. We need to extend the capabilities of wafer inspection technology by reducing the wavelength of its light sources, which will bring it into VUV/EUV region. In the workshop, I presented a draft version of requirements for such sources, based on feedback from end users and integrators. Energetiq presented their source development work in 20-50 nm and KT presented their current broadband plasma sources which are currently being used for wafer inspection.
  • The contribution of National Labs to source development was evident with various references to databases from NIST, CXRO, LLNL and LANL, as well as modeling support from these institutions. ARCNL, supported by private – public funding, is now the new and leading source of research to support EUV source development. However, the most papers from a single institution were from UCD, which had the home team advantage, and ARCNL and its collaborators were next in number of papers presented in the workshop.
  • ARCL has a new and improved identification for Sn ion emission in 7-12 nm, since what was done last by Gerry O’Sullivan of UCD almost 25 years ago. Current level assignment can lead to up to 5 nm uncertainty in spectral identification. For Sn IV, new ionization potential (IP) was presented and 12 new terms for added for this ionization. Forty-seven new lines from Sn IV were identified.
  • Carolyn Larabell of LBL in her keynote speech noted progress in the development of water window XUV sources. Previously it took six hours at diamond for a single water-window exposure, and now it takes only 10 minutes with a new setup from Hans Hertz. This is a real progress from the Source community!

Notables

  • James Colgan of LANL explained the lack of complete opacity tables for modeling of tin plasma. He presented a method for speeding up calculations for opacity for practical applications. Configuration interaction (CI) gives more accurate results than intermediate coupling (IC) for Sn LPP. Also, for the calculations of opacity of Sn, both accuracy and completeness appear crucial in obtaining converged results at moderate densities.
  • In terms of new technology, I noted Yb: YAG lasers (1030 nm) for ps pre-pulse drivers for Sn LPP. Trumpf presented results on their development work for 600 W, 80 mJ, with M2 1.12 to 1.4, pulse length of 0.7 to 1.5 ps. Max-Planck Institute presented results of their Yb: YAG lasers, which are at 400 W, M2<1.20 with 0.85 ps pulses.
  • HiLASE presented their Nd: YAG lasers development for pre-pulse lasers results for their 10 mJ, 1-2 ps, 100 K Hz, 450 W systems.
  • Gigaphoton’s Sn LPP sources for HVM continue to make steady and solid progress, as presented by Hakaru Mizoguchi. Pilot 1 system is at 113 W 5% CE, 89% availability. The present system has potential for 320 W and is capable of 500 W (via 40 kW lasers).
  • Kentaro Tomita of Kyushu University, Japan noted that top-hat laser profile may help with CE. Pulse shaping is going to be an enabler of increasing CE.
  • ARCNL showed that their LPP plasma modeling calculations can be used to identify the charge state balance observed in the spectrum.
  • W. Morgan of DIFFER proposed use of liquid metal ( liquid tin) for shielding surfaces from damage in a plasma environment, as currently being explored for fusion applications.
  • Oscar Versolato of ARNL showed a difference in physics between ns and ps interaction of droplets with lasers. Pulses of ps time scale result in spherical deformation at low energies and fragmentation at higher energies. Cavitation and spallation of droplets via shock waves was demonstrated in experimental results for ps pulses. He also presented experimental data showing an increase in ion energy when pulse length is increased from 6 ns to 4.5 ps.
  • Howard Scott of LLNL pointed out that predicting trends in LPP modeling does not depend as much on details but on correct assumptions. For accurate modeling, data must cover all charge states up to 28+ of tin ions. Controlled approximations that can allow high-fidelity simulations are available. He showed how 147K levels can be reduced to 1K for faster calculations, without significant loss of accuracy.
  • Akira Sasaki of NIQRST pointed out that CO2 laser is absorbed by target particles generated during pre-pulse. Bubbles then appear inside the liquid targets and grow to break up the droplet. However, below critical temperature, particles do not form – which may be a key to reducing debris and increasing CE.
  • Klaus Bergmann of Fraunhofer had a proposal for new source material for 6. 7 nm BEUV sources. CuMgGd alloy with melting point <500 C – gives strong Mg line at 6.7 nm. He also had a proposal for further optimization of CE for DPP sources, based on more efficient use of discharge current.
  • Yusuke Teramoto, BLV Licht- und Vakuumtechnik GmbH presented an update on compact EUV source for metrology. It now operates at 15 kHz, 76 W/mm2/sr brightness, CE<1% and 3-5% pulse to pulse stability. The position stability, important for metrology sources, is now 2-5micron pulse to pulse. He may apply the same debris mitigation technique as was used for DP for these versions of his technology targeting metrology applications.
  • A new candidate for metrology source is an Li LPP source from ISTEQ. Samir Ellwi presented that source, which operates with a 1kW drive laser to give brightness of 1000+, at 2 KHZ and CE 1.2%. Shorter pulses from his source reduce the debris.
  • Duane Hudgins, ETHZ, Switzerland presented the simulation of breakup dynamics of tin droplets in LPP using fluid models. He noted that fragments spatial distribution depends on laser spot size.
  • Yasin Ekinci of PSI presented a high-brightness accelerator-based EUV Source for EUV actinic mask inspection. A source called COSAMI is designed for 100 mW, 10E9 W/mm2.sr, 50 ps every 2 ns.
  • In addition to EUV and soft X-ray sources, X- ray sources are gaining attention as to their potential applications in leading edge semiconductor manufacturing. Joe Kline of NIST presented an overview of requirements for compact X-ray sources.
  • Larissa Jushkin of RWTH Aachen presented results on the application of EUV metrology tools to support advanced manufacturing beyond EUVL in a paper titled “Spectroscopic EUV Reflectometry for Characterization of Thin-films Systems and Determination of Optical Constants.”
  • Eric Louis of the University of Twente reported on ML optics development to support potential insertion of FEL based sources as high power EUV sources. He proposed a shift to 12.6 nm, from 13.5 nm, for sources for FEL, as this shift may result in a 20-25% higher transmission in a scanner. However, due to associated narrow bandwidth, central wavelength will need to be kept under very tight control.
  • There were two new spectrometers presented for EUVL spectroscopy. V. Medvedev of ISAN has developed a compact grazing-incidence spectrometer for broadband SXR-VUV spectral measurements. Also, Muharrem Bayraktar of the University of Twente presented his new transmission grating spectrometer.

Open Questions

A couple of open questions were noted in the workshop. First was how non-uniform degradation of collectors will affect the imaging, and how we address this via collector design. To focus efforts on sources for wafer inspection, we need to know the wavelength requirements for such sources. How to scale the power of EUV sources beyond 500 W is also an open issue. Will alternative technologies for high power EUV sources be able to address the many requirements for lithography sources for HVM? We need to pay close attention to see what limits may appear for Sn LPP technology.

Summary

EUV source technology is now ready at 200-250 W to enable insertion of EUVL into HVM. It still has some cost of ownership issues to address, but it is very much expected for a new advanced technology. There is slow but persistent work occurring to understand plasma dynamics via modeling and experimental work. This will allow us to increase CE, allow power scaling to reduce debris and increase component lifetime. This work must continue, and I sincerely hope that stakeholders will find a way to keep national labs involved in modeling efforts, as they have the knowledge and capability to do this. I am not sure how we will extend the current Sn LPP technology in its current form beyond 500 W. We need to continue to pay attention to FEL/accelerator-based sources and work in parallel on ML optics that will be needed for these sources. I will report back on the latest on source technology after SPIE AL.

New Frontiers for EUVL – Sources and Metrology: Topics for 2017 Source Workshop (November 6-8, 2017, Dublin, Ireland)

By Vivek Bakshi, EUV Litho, Inc.

As the focus of industry and press turns to insertion dates for EUVL in fabs, I am putting my thoughts on future nodes of EUVL for several reasons. First, I see EUVL in high volume manufacturing (HVM) fabs as a done deal, with insertion starting in HVM next year. The insertion dates by leading chip makers will be somewhat staggered because of their existing plans and products, specific insertion criterion, and so on. So there is not too much news in whoever announces using EUVL in a production line a quarter or two before the others. Second, we are approaching the phase of Moore’s Law where we face some difficult challenges in extending roadmaps to 3 nm and beyond. EUVL is in the forefront, but challenges are all around. For EUVL to succeed at these nodes, we need to focus on several technical areas. These areas are ripe for R&D, and successful solutions will lead to new and improved products for those who pay attention and invest. In this blog, I will focus on EUV Sources that will enable not only EUVL scanners but also leading-edge metrology needed for EUVL at 7 nm nodes and beyond. I will highlight how several papers in the upcoming EUV Source Workshop in Dublin (November 6-8, 2017) will address these topics.

High Power HVM Sources             

Industry has decided on Sn laser produced plasma (LPP) as the technology of choice, which uses tin droplets as an energy convertor for CO2 lasers. 250 W is already here, with development in progress for 500 W sources. As we look forward to higher powers of 500 W and beyond, there are a good many challenges than require serious R&D efforts. Current conversion efficiency (CE) is 5%, with 8-9% possible. It is not so easy to get that extra increase in CE, but the benefit is enormous in terms of the need for lower CO2 power (higher scaling), more stable sources (less overhead) and less debris (longer collector and component lifetime). Each of these items is critical, and to work on them we must look closely at fundamental data for Sn, and learn more via modeling and experiments how tin converts from liquid to plasma that generates EUV, while generating debris in the process. How far we can scale plasma sources beyond 500 W is still not known. If we need 1000 W, do we do this with plasma sources or free electron laser (FEL)? We may need to move beyond droplet generated sources for Sn LPP for higher powers, and FEL proposals need further evaluations.

In the source workshop this year, we will have sessions on fundamental data, modeling and high-power sources, which provide insight on these topics. There will be papers from ARCNL, DIFFER, LANL, LLNL, Max Plank Institute, University of Tokyo and many others. We also will have updates on high power source performance from ASML and Gigaphoton.

Broad-band EUV Sources for Wafer Inspection

This is a new topic that has seen much interest recently. As actinic patterned mask inspection tools are not ready, chip makers must rely on wafer inspection to identify mask defects. Current 193 nm based technologies have their limitations in terms of extending to 7 nm and beyond, and we need to reduce the wavelength of inspection tools. A study by NIST has showed that 47 nm (and not 13 nm) is the wavelength of choice for wafer inspection at future nodes. There are several candidates for such sources, and current learnings from EUV plasma source development and its integration can be applied to these broadband sources. I was asked by the source workshop’s committee last year to come up with draft requirements for such sources so that source suppliers have more guidance. So we plan to present a draft proposal for requirements for such sources. KLA-Tencor, Energetiq and ISTEQ plan to present the status of their plasma based broadband EUV sources, which can be applied for wafer inspection. I see another version of EUV sources emerging to help extend the Moore’s Law, by supporting advanced metrology.

Lasers for EUV Source and Metrology

Last week, one of my colleagues alerted me to recent development of 46.9 nm lasers which may be applied for wafer inspection. These lasers have only a fraction of mW of power, but after seeing how well 13.5 nm high harmonic generation (HHG) based inspection prototype tools have done, I believe one ought to review these lasers in the context of wafer inspection. The source workshop also will be covering the latest on HHG lasers and their applications, which continue to be explored as an alternative to actinic aerial image metrology systems (AIMS) and potentially for other mask defect applications. In a 2017 EUVL workshop paper, Prof. Murnane showed how HHG based actinic inspection can do a good job of defect review, and we already know that Samsung is using this technology for their EUVL development. I believe that this technology can be scaled to cover patterned mask defect inspection (PMI) as well, at least for a stopgap basis, while industry works on PMI tools.

One of the other ways lasers help Sn LPP EUV sources are in terms of pre-pulse. Pre-pulse shapes the tin droplet to a larger size, which increases coupling with the CO2 laser and increases source CE. Gigaphoton uses neodymium doped yttrium aluminum garnet (Nd:YAG) lasers with picosecond pulses, while Cymer uses a wavelength from the CO2 laser itself. YAG lasers have their own advantage and it is no small task to develop 500 W ps YAG lasers for per-pulsing. We will have updates from Trumpf and HiLase on their programs to develop these ps pre-pulse lasers, which also may play a role in FEL based EUV sources.

Metrology Sources- Plasma based and beyond

Metrology sources at 13.5 nm will enable actinic patterned mask inspection. The current workhorse for industry is the source from Energetiq, and they need higher brightness for meeting HVM requirements. Many are working hard to meet the HVM metrology source requirements by plasma sources, and Ushio, ISTEQ, ETHZ and Fraunhofer will update us on the latest in their metrology sources.

What has me excited is a new concept beyond plasma. In the 2017 EUVL workshop, we heard about compact accelerator based sources that can potentially power a scanner. Now we have a proposal from PSI for a compact source for metrology that is also based on accelerator technology. I look forward to finding out more about this non-plasma based metrology source technology.

So I am looking forward to lots of exciting papers next month in the workshop that address leading edge EUVL topics, and I will report back in a future blog on what I learn at the workshop. Abstracts for these papers and the agenda for the workshop are available at www.euvlitho.com.

EUVL Technology Status Update

By Vivek Bakshi, EUV Litho, Inc.

This blog gives the latest update on the status of EUVL, based on data released this summer from the 2017 EUVL Workshop, 2017 Semicon West and recent announcements. This update is in the format that I previously introduced to simplify the vast amount of information from the 2017 SPIE AL EUVL Conference. It includes a short summary of EUVL Status, a list of notable updates since the 2017 SPIE AL meeting, and additions to the current list of EUVL Challenges at various nodes (complete list previously published on this site (List of challenges at 7, 5 and 3nm nodes). Another blog, “New Frontiers for EUVL – Sources and Metrology” will be published tomorrow on this site. 

  1. Current EUVL Status

 

Source: 250 W standalone source power has now been demonstrated at ASML (210 W in Q1 2017). Current power of integrated sources is at 148 W, corresponding to 104 wafers per hour (WPH) scanner throughput in-house at ASML. Stable 130 W power in scanner has been noted in field. In ASML lab, EUV source power is 375 W, in burst mode at 50 kHz. 200 W of stable power may be available in field in 2017 or early 2018. Source power is now meeting requirements for the introduction of NXE3400. Current source availability is at 75%, while high volume manufacturing (HVM) requirements are >90%. Lifetime of droplet generators and collectors are improving, but need further improvement to meet HVM requirements. Collector lifetime in 2016 was 1.5x better than the previous year. Reflectivity drop for collector is now 0.4% per gigapulse. Encouraging progress by Gigaphoton, a second supplier of high power HVM EUV sources. Options for EUV Sources beyond 500 W are under study. 

Scanners: Fourteen EUVL scanners are now in field. Four scanners were shipped in 2016. Specs are 0.3 nm critical dimension uniformity (CDU) and 1.8 nm overlay. For 148 W, scanners demonstrate 104 wafers per hour (WPH) throughput, with an increase of 8 wafers WPH achieved via increase of stage speed at the same source power. NXE 3300 scanner availability at >75%. The top contributor to scanner tool downtime is the exposure source.

Higher numerical aperture (NA) EUVL scanner design is now ready, with anamorphic optics (4x/8x magnification) for EUVL extension. Higher NA scanners will have smaller depth of focus (DOF) (1/3 of 0.33 NA tools), print half field – so will require stitching. Scanners will have requirements for a larger cleanroom. For defect inspection for mask for these tools, a higher resolution for actinic inspection for defect review (AIMS), mask blank inspection (MBI) and patterned mask inspection (PMI) tools will be needed.

Masks: Mask blank defects are acceptable for now via defect avoidance and repair. Mask defects > 60 nm are zero. Total defects >23 nm spherical equivalent volume diameter (SEVD) in low single digits, with actual number depending on mask pattern density. Compensation for 3D mask effects in the near term will be done using source mask optimizing (SMO) and in the longer term by using new materials for mask stacks.

Mask Pellicles: Mask defect addition during manufacturing is still a concern for chip makers. The unpredictability of adder events drives the need for pellicles. Pellicles can now withstand 140 W of source power and plans for cooling hardware are in place for a 205 W upgrade. Pellicles need to be ready for 250 W by 2H 2017. Current single pass transmission is 85%. Current fixed pellicle design needs to evolve to provide future solutions. New carbon nanotube based pellicles have been proposed from IMEC. Intel tested pellicles for >4 K wafers at 140 W with no added defects. This is a current topic of focus for readiness for HVM. 

Mask Defect Inspection: Need for AIMS, mask blank inspection (MBI) and PMI remains. Defect review is being addressed. Samsung has made its own AIMS tool for defect review and plans to use it for HVM. Tool is using a high harmonic generation (HHG) based EUV source and a scanning zone plate. Zeiss is now shipping its first AIMS tool. Actinic patterned mask inspection (APMI) tool is still missing, while MBI tools are ready for current needs. Mask defect inspection is being done via wafer inspection for now, but at a cost and with lower yield. APMI is the only red flag item for 7 nm insertion of EUVL. We need APMI for pelliclized masks.

Resist: Adequate for 7 nm node but better local CD uniformity (LCDU) required for future nodes. To address stochastics, we need increased EUV dose and increased EUV absorption of resists. EUV resists with smaller reactive volume, more uniform distribution of components, fewer components and higher dissolution contrast are needed. Lots of talk about stochastics, but I believe it will be addressed and it is not a showstopper, although it will need a good bit of work. It is important to note that resist image is only an intermediate step, and there are still several knobs available to improve the image and performance of the final circuit – which is what matters.

Need to understand the interaction of EUV radiation with resist and design resist materials for addressing stochastics. Need to address new challenge of micro-bridging (also called nano-bridging). Its relationship to dose, type of resist and linewidth roughness (LWR) is not clear. Optical proximity correction (OPC) and Litho-etch optimization may help reduce this effect.

Continued work on chemically amplified resists (CAR), metal based inorganic resists and molecular resists to support 7 nm and beyond. Out of band (OOB) filter is now in scanner that also acts to keep out resist outgassing products.

 

  1. Notable Updates (Since 2017 SPIE AL Meeting)

 

Scanner and imaging

  • >1 M wafers exposed on NXE 33x0B in fabs.
  • Meeting 5 nm logic requirements with 0.3 nm CD uniformity (CDU) (13 nm L/S) with LWR at 3.8 nm (34 mJ) and 3.2 (58 mJ).
  • Now imaging 20 nm contact holes (CH) with CDU of 1.2 nm.
  • Demonstrating clear benefit in terms of illumination for NXE3400 over NXE3300.
  • NXT to NXT matched overlay is now 1.8 nm.
  • Throughput of 104 WPH for 148 W source for NXE:3400B (at ASML – Q1 2017)
  • Faster wafer swap, transmission improvement and source power increase will enable 125 WPH.
  • Zero adders during scanner operation, with light on, for 2400 wafer exposure demonstrated.
  • Larger NA (0.55) scanner will result in reduces dose requirements and higher effective throughput (as fewer LE steps will be required).
  • High NA will also help mitigate LCDU.
  • Infrastructure already in construction at ASML and Zeiss for high NA EUVL scanners.

 

Source

  • 250 W demonstrated at ASML.
  • CE is now at 5.7% at ASML.
  • >700 hour droplet generator lifetime
  • In burst power of 375 W, in lab
  • Designs now available for 1 kW EUV source based on FEL emission in compact storage ring, and these designs need to be evaluated.
  • Discussions continue for which technology will support 1 kW EUV sources: plasma or free electron laser (FEL)/accelerator based approaches. Work is continuing in Japan on FEL based sources for EUVL.

 

Pellicles

  • Pellicle films produced without defects that print on wafers.
  • Pellicles for NXE:3400B can withstand 140 W. Y-nozzle cooling is expected to extend this to 205 W.

Resist

  • Continued work on fundamentals and evaluating performance of new resists. Metal oxide (MOx) resists provide opportunity to reduce LWR via etch and enable co-integration with newer integration schemes.

 

Mask

  • 100x reduction in overspray reported by Veeco, resulting in reduced mask blank defect density
  • Ion beam target overspray, target nodule formation, and particle entrainment in the ion beam, are potential ultimate limitations to particle reduction in ion beam deposition (IBD).
  • Veeco proposed Biased Target IBD and Target Confined Plasma as alternate deposition technology to IBD in long term.

 

  1. New Additions to existing list of challenges for EUVL (Since 2017 SPIE AL meeting, please see previous blogs (Challenges at various future nodes and Update from 2017 SPIE AL)for a complete listing) and for detailed technical information review Proceedings of 2017 EUVL Workshop or Summary of 2017 EUVL Workshop.

 

7 nm

Nothing new 

5 nm

Need for 350 W pellicles

3 nm

Need for 500 W pellicles

2 nm

1 kW power may be needed. How far can we stretch the LPP technology (laser power, droplet generator, contamination)? What are the challenges for FEL?

What will be the pellicle requirements?

New cap layers for Mask and optics