EUVL Focus



Latest on EUV Source Technology – Highlights from 2017 Source Workshop

By Vivek Bakshi, EUV Litho, Inc.

As we look forward to 2018 SPIE Advanced Lithography conference, it is good to review the current status and recent development for EUV source technology. In this blog, I present the latest status on EUV source technology from the 2017 Source Workshop, held last November at UCD in Dublin. Highlights, notable papers, list of open questions and summary of status are presented. There are lots of details that people in the source business will find valuable. I will share in my next blog the status and challenges of the entire EUVL technology.

Highlights

  • I thought that biggest lesson I learned was that resist sensitivity requirements continue to be a major driver of EUV source power requirements for scanners. Initially requirements were set at 5 mJ but today acceptable resists are at 30 mJ or more. 5 mJ to 30 mJ means 6x additional power, and no other factor can drive power requirements so drastically. Thus resist alone can be a leading factor in making a difference in whether the technology is for HVM level throughput. I believe that development of EUV resists that allow error-free printing with the least amount of EUV photons is now the leading challenge for EUVL. Unless we make good progress on this front, we will continue to depend mostly on EUV sources to address the throughput requirements.
  • Source power is now at 205 W for HVM level EUVL scanners. 250 W has been demonstrated with conversion efficiency (CE) of 6% using CO2 drive lasers at 21.5 kW (capable of 40 kW) at 50 kHz, with average 41% collector reflectivity. Enhanced isolation technology, advanced target formation technology and dose control enabled this power and collector lifetime. Droplet generator lifetime is now at 4 months. In-situ cleaning with hydrogen is proving successful in removing tin with almost no microparticles for 99.6% of targets. We are seeing <0.4% loss of reflectivity over giga pulses. We can thank 8 years of engineering and lots of hard work for this progress, as pointed out by Igor Fomenkov of Cymer in his keynote speech. Today we have 375 W in burst at 50 kHZ and 400 W has been demonstrated on research platforms. I should also point out that the current 125 wafers per hour (WPH) throughput includes a gain of 8 WPH via faster wafer swap.
  • There is interest in a new type of EUV source (broadband with wavelength less than 170 nm) that I believe will be the enabler of next-generation wafer inspection. Wafer inspection is currently being used for mask defect inspection, due to the lack of commercial patterned mask inspection. We need to extend the capabilities of wafer inspection technology by reducing the wavelength of its light sources, which will bring it into VUV/EUV region. In the workshop, I presented a draft version of requirements for such sources, based on feedback from end users and integrators. Energetiq presented their source development work in 20-50 nm and KT presented their current broadband plasma sources which are currently being used for wafer inspection.
  • The contribution of National Labs to source development was evident with various references to databases from NIST, CXRO, LLNL and LANL, as well as modeling support from these institutions. ARCNL, supported by private – public funding, is now the new and leading source of research to support EUV source development. However, the most papers from a single institution were from UCD, which had the home team advantage, and ARCNL and its collaborators were next in number of papers presented in the workshop.
  • ARCL has a new and improved identification for Sn ion emission in 7-12 nm, since what was done last by Gerry O’Sullivan of UCD almost 25 years ago. Current level assignment can lead to up to 5 nm uncertainty in spectral identification. For Sn IV, new ionization potential (IP) was presented and 12 new terms for added for this ionization. Forty-seven new lines from Sn IV were identified.
  • Carolyn Larabell of LBL in her keynote speech noted progress in the development of water window XUV sources. Previously it took six hours at diamond for a single water-window exposure, and now it takes only 10 minutes with a new setup from Hans Hertz. This is a real progress from the Source community!

Notables

  • James Colgan of LANL explained the lack of complete opacity tables for modeling of tin plasma. He presented a method for speeding up calculations for opacity for practical applications. Configuration interaction (CI) gives more accurate results than intermediate coupling (IC) for Sn LPP. Also, for the calculations of opacity of Sn, both accuracy and completeness appear crucial in obtaining converged results at moderate densities.
  • In terms of new technology, I noted Yb: YAG lasers (1030 nm) for ps pre-pulse drivers for Sn LPP. Trumpf presented results on their development work for 600 W, 80 mJ, with M2 1.12 to 1.4, pulse length of 0.7 to 1.5 ps. Max-Planck Institute presented results of their Yb: YAG lasers, which are at 400 W, M2<1.20 with 0.85 ps pulses.
  • HiLASE presented their Nd: YAG lasers development for pre-pulse lasers results for their 10 mJ, 1-2 ps, 100 K Hz, 450 W systems.
  • Gigaphoton’s Sn LPP sources for HVM continue to make steady and solid progress, as presented by Hakaru Mizoguchi. Pilot 1 system is at 113 W 5% CE, 89% availability. The present system has potential for 320 W and is capable of 500 W (via 40 kW lasers).
  • Kentaro Tomita of Kyushu University, Japan noted that top-hat laser profile may help with CE. Pulse shaping is going to be an enabler of increasing CE.
  • ARCNL showed that their LPP plasma modeling calculations can be used to identify the charge state balance observed in the spectrum.
  • W. Morgan of DIFFER proposed use of liquid metal ( liquid tin) for shielding surfaces from damage in a plasma environment, as currently being explored for fusion applications.
  • Oscar Versolato of ARNL showed a difference in physics between ns and ps interaction of droplets with lasers. Pulses of ps time scale result in spherical deformation at low energies and fragmentation at higher energies. Cavitation and spallation of droplets via shock waves was demonstrated in experimental results for ps pulses. He also presented experimental data showing an increase in ion energy when pulse length is increased from 6 ns to 4.5 ps.
  • Howard Scott of LLNL pointed out that predicting trends in LPP modeling does not depend as much on details but on correct assumptions. For accurate modeling, data must cover all charge states up to 28+ of tin ions. Controlled approximations that can allow high-fidelity simulations are available. He showed how 147K levels can be reduced to 1K for faster calculations, without significant loss of accuracy.
  • Akira Sasaki of NIQRST pointed out that CO2 laser is absorbed by target particles generated during pre-pulse. Bubbles then appear inside the liquid targets and grow to break up the droplet. However, below critical temperature, particles do not form – which may be a key to reducing debris and increasing CE.
  • Klaus Bergmann of Fraunhofer had a proposal for new source material for 6. 7 nm BEUV sources. CuMgGd alloy with melting point <500 C – gives strong Mg line at 6.7 nm. He also had a proposal for further optimization of CE for DPP sources, based on more efficient use of discharge current.
  • Yusuke Teramoto, BLV Licht- und Vakuumtechnik GmbH presented an update on compact EUV source for metrology. It now operates at 15 kHz, 76 W/mm2/sr brightness, CE<1% and 3-5% pulse to pulse stability. The position stability, important for metrology sources, is now 2-5micron pulse to pulse. He may apply the same debris mitigation technique as was used for DP for these versions of his technology targeting metrology applications.
  • A new candidate for metrology source is an Li LPP source from ISTEQ. Samir Ellwi presented that source, which operates with a 1kW drive laser to give brightness of 1000+, at 2 KHZ and CE 1.2%. Shorter pulses from his source reduce the debris.
  • Duane Hudgins, ETHZ, Switzerland presented the simulation of breakup dynamics of tin droplets in LPP using fluid models. He noted that fragments spatial distribution depends on laser spot size.
  • Yasin Ekinci of PSI presented a high-brightness accelerator-based EUV Source for EUV actinic mask inspection. A source called COSAMI is designed for 100 mW, 10E9 W/mm2.sr, 50 ps every 2 ns.
  • In addition to EUV and soft X-ray sources, X- ray sources are gaining attention as to their potential applications in leading edge semiconductor manufacturing. Joe Kline of NIST presented an overview of requirements for compact X-ray sources.
  • Larissa Jushkin of RWTH Aachen presented results on the application of EUV metrology tools to support advanced manufacturing beyond EUVL in a paper titled “Spectroscopic EUV Reflectometry for Characterization of Thin-films Systems and Determination of Optical Constants.”
  • Eric Louis of the University of Twente reported on ML optics development to support potential insertion of FEL based sources as high power EUV sources. He proposed a shift to 12.6 nm, from 13.5 nm, for sources for FEL, as this shift may result in a 20-25% higher transmission in a scanner. However, due to associated narrow bandwidth, central wavelength will need to be kept under very tight control.
  • There were two new spectrometers presented for EUVL spectroscopy. V. Medvedev of ISAN has developed a compact grazing-incidence spectrometer for broadband SXR-VUV spectral measurements. Also, Muharrem Bayraktar of the University of Twente presented his new transmission grating spectrometer.

Open Questions

A couple of open questions were noted in the workshop. First was how non-uniform degradation of collectors will affect the imaging, and how we address this via collector design. To focus efforts on sources for wafer inspection, we need to know the wavelength requirements for such sources. How to scale the power of EUV sources beyond 500 W is also an open issue. Will alternative technologies for high power EUV sources be able to address the many requirements for lithography sources for HVM? We need to pay close attention to see what limits may appear for Sn LPP technology.

Summary

EUV source technology is now ready at 200-250 W to enable insertion of EUVL into HVM. It still has some cost of ownership issues to address, but it is very much expected for a new advanced technology. There is slow but persistent work occurring to understand plasma dynamics via modeling and experimental work. This will allow us to increase CE, allow power scaling to reduce debris and increase component lifetime. This work must continue, and I sincerely hope that stakeholders will find a way to keep national labs involved in modeling efforts, as they have the knowledge and capability to do this. I am not sure how we will extend the current Sn LPP technology in its current form beyond 500 W. We need to continue to pay attention to FEL/accelerator-based sources and work in parallel on ML optics that will be needed for these sources. I will report back on the latest on source technology after SPIE AL.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

Leave a Reply

Your email address will not be published. Required fields are marked *