Insights From Leading Edge



IFTLE 259: IEEE 3DIC 2015 part 2 – DARPA , Xilinx, Tohoku Univ, IMEC/Samsung, Nikon, Chuo Univ., Torray

DARPA

In his presentation “Path to 3D Heterogeneous Integration” Dan Green, DARPA program manager described their motivation for heterogeneous integration.

Modern RF systems are under pressure to make use of the spectrum in sophisticated ways, while working within limited power budgets on platforms with reduced size and weight. The compound semiconductor (CS) electronics industry is well-positioned to address these challenges, due to the superior properties and diversity of CS materials. For example, high electron mobility and peak velocity of InP-based material systems have resulted in transistors with fmax above 1THz . Wide energy bandgap GaN has enabled large voltage swings as well as high breakdown voltage RF power devices. The excellent thermal conductivity of SiC makes tens of kW power switches possible. On-chip high-Q micro-electromechanical resonators and switches in materials such as AlN, have been demonstrated that potentially can be used for clock references and frequency selective filters.

The DARPA view is that the future of CS electronics depends not on displacing Si, but rather on heterogeneous integration of compound semiconductors with silicon technology in a way that will allow the advantages of the two technology types to be optimally combined.

The DAHI Foundry Technology thrust was initiated in 2013 to advance the diversity of heterogeneous device and materials available in a silicon-based platform and make this technology available to the greater DoD and commercial microsystems design community through the establishment of an accessible, manufacturable foundry offering for device-level heterogeneous integration. Recently, a DAHI multi-project wafer run was demonstrated utilizing 0.25um InP HBTs, 0.2um GaN HEMTs heterogeneously integrated with 65nm Si CMOS. A chiplet assembly approach was chosen as the primary path at the DAHI Principal Foundry because of reported advantages in flexibility and processing of dissimilar materials.

Xilinx

Xilinx updated the audience on their 2.5D FPGA program. Xilinx has participated since 2006 in 3D-IC technology development. Today there are more than (7) 3D-IC products from 2 generations of FPGA family nodes in shipping to customers. The figure below compares the different technologies available for high density interconnect.

IFTLE259_Fig1 Tohoku Univ

Rittinon and co-workers reported on the stability of electroplated copper thin film interconnect.

The mechanical properties of electroplated copper thin films, such as Young’s modulus and tensile strength vary drastically compared to those of conventional bulk copper. The reason for the variation and fluctuation of these mechanical properties is that the electroplated copper thin films mainly consist of fine columnar grains with porous grain boundaries as shown in the figure below. This micro texture changes the mechanical properties of the electroplated copper thin films significantly from those of bulk copper.

IFTLE259_Fig2The existence of porous grain boundaries is the main reason for the high resistivity and local high Joule heating in the electroplated copper interconnections. Therefore, the crystallinity of the electroplated copper thin-film interconnection has significant effect on the long-term reliability of the interconnections. They find that high Joule heating appears at grain boundaries with low crystallinity.

It is well-known that the crystallinity of electroplated copper interconnections is improved by high temp annealing. Since recrystallization and/or grain coarsening occurs during annealing, however, high tensile stress remains in the annealed interconnection because the shrinkage of the film is strictly prohibited by the surrounding silicon in a TSV structure. Such high tensile stress is the main reason for stress-induced migration in the interconnection resulting in the formation of a lot of voids in it.

Since the lattice mismatch between tantalum (the Cu migration barrier layer) and copper is about 18%, these researchers feel it is necessary to introduce an intermediate layer as the seed layer material between them. They report that a thin layer of ruthenium is an effective material for minimizing the lattice mismatch. It decrease the lattice mismatch from 18% to 6% thus lowering the overall stress formation.

IMEC/Samsung

It has been known for several decades that low temp oxide wafer bonding can be enhanced by plasma treatment of the oxide surfaces by process flows such as the one shown below.

IFTLE259_Fig3Researchers at IMEC and Samsung have now studied the potential for low temp bonding by dielectric films other than SiO2. The figure below shows the results of the surface roughness and bond strength measurements for SiOx, SiOxNy and SiCxNy surfaces that were treated with O2, Ar or N2 plasmas. Among those three dielectric films, the SiCxNy film had the best bonding strength in the same low temperature annealing condition for 2h at 250°C.

IFTLE259_Fig4

Nikon

Sugaya and co-workers reported on a new “precision” wafer bonding technology for 3DIC. The technology includes a new precision alignment methodology and a unique thermo-compression bonding procedure. Experimental results show that the alignment capability is 100nm or better, and permanent bonding accuracy of 260 nm (|mean| + 3σ) in 300 mm Cu wafer bonding.

Chuo Univ.

3D memory with TSV has been proposed as a candidate for the next generation integrated solid-state drive (SSD) with storage class memory (SCM). Such a 3D-TSV SSD is expected to have advantages of fast speed, low power consumption, and high endurance. The table below summarizes the comparison of simulated write performance, energy and required minimum I/O data rates by using the SSDs with and without 3D-TSV. The write energy reduces 68% by applying 3D-TSV in the SCM/MLC NAND hybrid SSD.

IFTLE259_Fig5Toray

Toray has examined increasing the productivity of IC stacking by thermos compression by a “collective” process as shown below.

IFTLE259_Fig6When NCF is used with TCB, enhancement of productivity is an important issue, because it takes about 10 sec to cure the NCF and at the same time melt a solder and connect to an electrode on the substrate. They propose one technique to solve the problem, is by using “collective bonding”. In a pre bonding process, chips are placed quickly at low temperature. Pre bonding of the four layer on a Si substrate was performed in the condition that the stage temperature is 80°C and the head temperature is 150°C for 0.8 s. Post bonding was performed by the equipment which has an improved stage for 3D stacking. As the post bonding condition, the peak temperature of second step of bonding head was set to 280oC so that the temperature in the NCF of the lowest layer was 240°C which was enough to melt a solder. The stage temperature was set to 80°C.

Another technique to speed up the overall process is gang bonding where several chips are placed onto the substrate and bonded at one time.

IFTLE259_Fig7

For all the latest on 3DIC and other advanced packaging stay linked to IFTLE…

 

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

Leave a Reply

Your email address will not be published. Required fields are marked *